KR100238623B1 - 기판처리장치 및 기판처리방법 - Google Patents

기판처리장치 및 기판처리방법 Download PDF

Info

Publication number
KR100238623B1
KR100238623B1 KR1019910021425A KR910021425A KR100238623B1 KR 100238623 B1 KR100238623 B1 KR 100238623B1 KR 1019910021425 A KR1019910021425 A KR 1019910021425A KR 910021425 A KR910021425 A KR 910021425A KR 100238623 B1 KR100238623 B1 KR 100238623B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
plasma
etching
substrate processing
Prior art date
Application number
KR1019910021425A
Other languages
English (en)
Other versions
KR920010777A (ko
Inventor
유타카 아메미야
아키히토 도다
Original Assignee
히가시 데쓰로
동경엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경엘렉트론주식회사 filed Critical 히가시 데쓰로
Publication of KR920010777A publication Critical patent/KR920010777A/ko
Application granted granted Critical
Publication of KR100238623B1 publication Critical patent/KR100238623B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3348Problems associated with etching control of ion bombardment energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 처리장치는 반도체 웨이퍼를 처리하는 처리부 및 플라즈마를 생성하는 플라즈마 생성부를 가지는 챔버와, 플라즈마 생성부내에 (O2+CF4) 혼합가스를 공급하는 가스 공급기구와, 이 가스를 플라즈마화 시키는 고주파 전극과, 플라즈마 중의 이온 트랩하고 중성의 라디칼을 처리부에 통과시키는 이온트랩과, 처리부내를 배기하는 배기기구를 가진다.

Description

기판 처리장치 및 기판 처리방법
제1도는 본 발명의 실시예에 대한 기판 처리장치를 포함한 에칭처리시스템 전체의 레이아웃드,
제2도는 본 발명의 실시예에 관한 기판처리장치로서 처리챔버(ATC)의 개요를 나타낸 종단면 기구 블록도,
제3도는 반도체 웨이퍼의 에칭처리 및 에슁처리공정을 나타낸 플로우 챠트,
제4도 4a 내지 4c 각각은 각 처리 공정에서 처리된 반도체 웨이퍼를 나타낸 종단면도,
제5도는 산소원자 라디칼과 불소원자 라디칼의 거동을 비교설명하기 위하여 이온트랩 및 반도체 웨이퍼를 모식적으로 확대하여 나타낸 부분종단면도,
제6도는 플라즈마 발생장치의 전극에 인가되는 교류전력과 에칭 레이트(E/R), 유니포 미티(UNIF), 에슁레이트/에칭레이트(VS.POLY)의 각각의 상관을 나타낸 블록도,
제7도는, 처리가스의 압력과 E/R,UNIF,VS.POLY 의 각각의 상관을 나타낸 블록도,
제8도는, 처리가스 유량과 E/R,UNIF,VS.POLY 의 각각의 상관을 나타낸 블록도,
제9도는, 처리가스에 대한 CF4의 첨가율과 E/R,UNIF,VS.POLY 의 각각의 상관을 나타낸블록도이다.
〈도면의 주요부분에 대한 부호의 설명〉
2 : 반도체 웨이퍼 2a : 실리콘층
2b : SiO2층 2c : 포트레지스트
10 : 에칭시스템 11 : 제 1 의 L/L 챔버
12 : 메인 챔버(M/C) 13 : 제 3 의 L/L 챔버
14 : ATC 15 : 제 3 의 L/L 챔버
20 : 컴퓨터 21 : 콘트롤러
22 : 승강장치 23 : 얹어 놓는대
24 : 처리부 25 : 플라즈마 생성부
26 : 원형관 27 : 스페이서
28a,28b : 전극 29 : 정합회로
30 : 고주파전원 31 : 가스공급배관
32a,32b : GSS 33a, 33b : MFC
34 : 배기장치 35 : 배기배관
36,37 : 게이트기구 38a : 알루미늄판
38b : 가는 구멍
본 발명은 반도체 웨이퍼등의 기판을 에칭처리, 에슁처리등 하기 위한 장치 및 처리방법에 관한 것이다.
반도체 직접회로의 미세패턴은 일반적으로 유기고분자의 포트레지스트막을 마스크로서 사용하고, 반도체 웨이퍼위에 막 형성된 바탕막을 애칭함으로서 형성된다.
그리고 에칭 과정을 거친 후에 포트레지스트 막을 반도체 웨이퍼의 표면으로부터 제거한다.
상기 에칭처리를 실시한 기판 처리장치의 하나로서 종래로부터 독립유니트 챔버를 가지는 플라즈마 에칭장치가 사용되고 있다.
또, 상기 포트 레지스트 막의 제거를 실시한 기판처리장치의 하나로서, 종래로부터 독립 유니트 챔버를 가지는 에칭장치가 사용되고 있다.
또, 최근에는 여러개의 처리유니트를 조합하여 다기능화한 기판처리장치가 실용화되고 있다.
이와같은 장치로서는, 먼저 메인 챔버안에서 이방성 에칭하고나서 뒷처리챔버안에서 이방성에칭에 의하여 손상된 부분을 등방성에칭 (실리로의 라이트에칭)하고, 최후로 에슁챔버안에서 에슁한다.
그러나 상술한 등방성 에칭 및 에슁의 공정에서는 과도의 기판손상을 회피하려고 하면, 높은 에칭레이트 (빠른 에칭속도) 또는 에슁레이트를 얻는 것이 곤란하고, 이 때문에 여러개의 반도체 웨이퍼등을 동시에 처리하는 이른바 챔버처리에 의하여 예를 들면 플라즈마 전력을 내려서 장시간 (예를 들면 1시간 정도) 걸쳐서 처리를 실시하고 있다.
한편, 상술한 이방성에칭의 공정은 고주파 전력을 이용한 플라즈마 에칭등에 의하여 높은 에칭레이트를 용이하게 얻을 수 있고, 이때문에 통상 낱장처리에 의해서 실시하고 있다.
이와 같은 사정에 의하여 종래의 상기 기판처리공정에서는 이방성에칭, 등방성에칭, 에슁의 공정을 각각 다른 장치에서 실시하고, 각 공정사이는, 인력에 의하여 혹은 자동반송장치에 의하여 반소을 실시하고 있다.
이 때문에, 각 공정사이의 반송각 장치로 반입 반출이 필요하게 되고, 각 공정사이를 총합한 수율이 낮다고 하는 문제나, 장치의 점유바닥 면적이 증대된다고 하는 문제등이 있었다.
또, 공정사이의 반송을 실시하기 위하여 피처리물 예를 들면 반도체 웨이퍼가 대기에 접촉하는 것에서 대기중의 먼지, 불순물, 수분등이 부착되어 패턴의 결함이나 처리 재현성의 악화등이 발생하는 문제가 있었다.
특히, 메탈 에칭프로세스에서는, 메탈 에칭공정으로부터 레지스트 제거공정사이에 반도체웨이퍼가 대기에 접촉하면, 반도체웨이퍼상에 잔류된 마량의 염소계 에칭가스가 대기중의 수분과 반응하여 메탈층을 부식시키어 수율이 저하한다는 문제가 있었다.
본 발명의 목적은 처리스피드를 종래보다 속력을 빨리 할 수 있고, 수율의 향상, 장치의 점유 바닥면적의 삭감 및 대기에 의한 웨이퍼의 오염방지를 꾀할 수 있는 기판처리장치 및 기판처리방법을 제공하는 것이다.
본 발명의 한형태에 의하면, 기판처리장치는 기판을 처리하는 처리부와 플라즈마를 생성하는 플라즈마 생성부를 가지는 챔버와, 상기 플라즈마 생성부내에 가스를 공급하는 수단과, 플라즈마 생성부내의 가스를 플라즈마화하는 전극수단과, 상기 플라즈마 생성부와 처리부와의 사이에 설치되고, 상기 플라즈마중의 이온을 트랩하고, 중성의 라디칼을 상기 처리부에 통과시키는 이온 트랩수단과, 상기 처리부내를 배기하는 배기수단을 구비하여 구성된다.
또, 본 발명의 한형태에 의하면, 기판처리방법은, 챔버의 처리부에 기판을 준비하고 제조하는 공정과, 챔버의 플라즈마생성부내에 가스를 도입하는 공정과, 상기 플라즈마 생성부내의 가스를 플라즈마화하는 공정과, 중성의 라디칼을 상기 처리부내의 기판에 작용시키는 공정으로 이루어진 기판처리방법이다.
또, 챔버의 플라즈마 생성부처리부보다 가는 지름으로하는 것이 바람직하다.
이와같이하면, 전극의 상호간극이 좁게되고, 고밀도의 플라즈마가 발생한다.
플라즈마 생성부의 안지름은 20 - 60mm인것이 바람직하다.
또 기판의 얹어놓는 대에 승강기구를 설치하고, 기판과 이온트랩과의 상호사이 거리를 바꾸어 얻도록 하는 것이 바람직하다.
이와같이 하면, 긴 수명의 불소원자라디칼과 단수명의 산소원자 라디칼의 기판에 도달하는 비율을 제어할 수 있다.
본 발명의 기판처리장치에서는, 고밀도의 플라즈마를 발생시키고 이 플라즈마를 처리부에 수송하여 이온트랩을 통하여 피처리기판에 작용시킨다.
따라서 피러치기판에는 주로 라디칼 만이 작용하고, 이온에 의한 대미지를 주는 일이 없고, 높은 에칭레이트의 등방성에칭을 실시할 수 있다.
에를 들면 낱장처리로도 전공정인 이방성 에칭과 동등한 수율을 얻을 수 있다.
이 때문에, 예를들면 상기 처리부와 이방성에칭을 실시한 장치의 처리부와 연결설치하여 대기와 비접촉하여 순차적으로 낱장처리하는 것도 가능하게 된다.
또, 본 발명의 기판처리방법에서는, 처리가스를 에슁가스와 에칭가스의 혼합가스로서, 등방성에칭과 에슁을 동시에 실행하고, 가스의 압력, 가스의 유량, 가스의 비율, 적어도 한 개를 조절하여 소망하는 값으로 제어한다.
따라서, 등방성 에칭과 에슁을 동일의 챔버내에서 실시함으로서, 수율의 향상 장치수의 삭감에 의한 장치의 점유바닥면적의 삭감등이 가능하게 된다.
[실시예]
이하 첨부된 도면을 참조하여 본 발명의 여러가지 실시예에 대하여 설명한다.
도면 1 에 나타낸 바와같이 반도체웨이퍼의 에칭시스템 (10)은 여러개의 유니트 챔버 (11)-(15)로 된다.
메임 챔버 (M/C)(12)가 로드 뒷처리챔버 (ATC)(14) 로드록 챔버 (13),(15)의 사이에 배치되어 있다.
각 로드록 챔버 (11),(13),(150에는 핸들링 장치 (도시하지 않음)가 설치되고, 반도체웨이퍼를 1 장씩 게이트 (도시하지 않음)을 통하여 챔버내에 출입되도록 되어 있다.
제 1 의 L/L 챔버 (11)의 프론트게이트의 근방에는 카세트 반송로 (도시하지 않음)가 설치되어 있다.
카세트 반송로에 따라서 반송용 로보트 (도시하지 않음)가 주행하고, 현상섹션으로 부터 카세트 스테이션 (도시하지 않음)에 웨이퍼가세트가 반입되도록되어 있다.
카세트 스테이션은 제 1 의 L/L 챔버 (11)의 프론트 게이트의 전방에 설치되어 있다.
같은 제 3 의 L/L 챔버 (15)의 리어 게이트의 근방에도 카세트 스테이션 (도시하지 않음)이 설치되어 있다.
각 L/L 챔버 (11),(13),(15)의 벽을 배기관 (도시하지 않음) 및 질소 가스 공급관 (도시하지 않음)이 관통되어 있다.
M/C (12)의 중에는 평행평판 전극 (도시하지 않음)이 설치되고, 전극 사이에 발생시킨 플라즈마에 의하여 웨이퍼상의 피에칭막으로부터 포트레지스트를 마스크레 이방성에칭되도록 되어 있다.
M/C (12)의 벽을 배기관 (도시하지 않음) 및 처리가스공급관 (도시하지 않음)이 관통되어 있다.
다음에 제 2 도를 참조하여 뒷처리챔버 (ATC)(14)에 대하여 설명한다. ATC (14)내에는 반도체웨이퍼 (2)를 예를들면 정전(靜電) 챔버등에 의하여 유지할 수 있는 웨이퍼를 얹어 놓는 대 (23)가 설치되어 있다.
이 웨이퍼를 얹어 놓는대 (23)는 직경 예를 들면 200mm 중공원통형상의 처리부 (24)안에 수용되어 있다. (22)에 의하여 상하로 움직임이 가능하게 구성되어 있다.
또한, 웨이퍼를 얹어놓는대 (23)는 도시하지 않은 가열장치를 구비하고 있다. 이 처리부 (24)의 상부에는 처리부 (24)보다 가는 지름의 중공원통형상으로 형성된 플라즈마 생성부 (25)가 설치되어 있다.
즉, 처리부 (24)의 상부에는 처리부 (24)보다 가는지를 예를 들면 직경 40mm로된 석영제의 원형관 (26)이 접속되어 있다.
이 석영제의 원형관 (26) 바깥쪽에는 절연성의 스페이서 (20)에 의하여 상하로 분할되듯이 한쌍의 전극 (28a)(28b)두루 감기게 되어 있다.
이들 전극 (28a)(28b)은 한쪽 예를 들면 전극 (28a)이 정합회로 (29)를 통하여 고주판 전원 (3)에 접속되어 있으며 다른 쪽 예를들면 전극 (28b)가 접지되어 있다.
또, 원형관(26)의 상부에는 가스 공급배관 (31)이 접속되어 있고 이 가스 공급배관 (31)은 기체류량 조정기 (MFC)(33a),(33b)를 통하여 처리 가스 공급원 (GSS)(32a)(32b)에 접속되어 있다.
제 1 의 GSS (32a)내에는 산소가스가 수용되며 제 2 의 GSS(32b)내에는 CF4가스가 수용되어 있다.
또, 상기 처리부 (24)의 하부를 배기장치 (34)의 배기관 (35)이 관통하고 있다.
처리부 (24)의 측부에는 처리부 (24)내에 반도체 웨이퍼 (2)를 반입 반출하기 위한 게이트 기구 (36),(37)이 설치되어 있다.
이들의 게이트 기구 (36),(37)에 의하여 ATC(14)와 제 2 의 L/L챔버(13), 제 3 의 L/L 챔버 (15)가 서로 간막음되어 있다.
또한, 처리부 (24)와 플라즈마 생성부 (25)와의 상이에는 이온 트랩 (38)이 설치되어 있다.
이 이온 트랩 (38)은 도전성재료 예를들면 알루미늄판 (38a)에 여러개의 가는구멍 (38b) (예를들면 직경 0.2 - 0.5mm)를 형성하고, 접지전위에 접속하여 구성되어 있다.
그리고, 플라즈마 생성부 (25)에서 생성된 플라즈마중의 이온은 이온트랩 (38)에 포착되며 전기적으로 중성의 라디칼 만이 반도체 웨이퍼 (2)에 작용하도록 구성되어 있다.
또한 이온 트랩 (38)은 메쉬형상의 전극등으로 구성하여도 좋다.
또 컴퓨터 (20)에 빽업된 콘트롤러 (21)가 ATC (14)의 각부 기구의 전원 스위치에 접속되어 있다.
즉, 고주파 전원 (30),GSS(32a),(32b),MFC(33a),(33b),승강기구 (22),배기기구 (34),게이트 기구 (36),(37) 정전(靜電)챔버기구 웨이퍼 얹어놓는대 가열장치 및 웨이퍼 핸들링 기구 (도시하지 않음) 각각에 콘트롤러 (21)로부터 지령신호가 보내지도록 되어 있다.
이에 따라서 도면 제 3 도 내지 제 5 도를 참조하면 에칭 시스템 (10)에 의하여 반도체 웨이퍼 (2)를 에칭/에슁처리하는 경우에 대하여 설명 한다.
포트레지스트의 도포 및 현상처리를 끝낸 실리콘 웨이퍼 (2)를 핸들링 장치에 의하여 카세트로부터 꺼내고, 제 1 의 L/L 챔버 (11) 내에 반입 한다 (STEP 51).
프론트 게이트를 닫고, 제 1 의 L/L 챔버 (11)내를 배기한다.
L/L 챔버 (11)의 내압이 M/C (12)의 내압과 대량 같은 정도로 되면 리어 게이트를 열어 L/L 챔버 (11)와 M/C(12)를 연결하여 통하게 시킨다.
핸들링 장치에 의하여 웨이퍼 (2)를 L/L 챔버 (11)로부터 M/C (12)에 이송한다 (STEP 52).
M/C (12) 중에서 웨이퍼 (2)를 이방성 에칭한다 (STEP 53).
이것에 의하여 웨이퍼 (2)의 SiO2층 (26)가 부분 제거된다.
즉, 웨이퍼 (2)는 도면 제 4a도에 나타낸 상태에서 도면 제 4b 도에 나타낸 상태로 된다.
여기에서 부호 2a는 실리콘층, 부호 2c는 포트레지스트층을 나타낸다.
이방성 에칭에 SiO2층 (2b)가 제거된 개소 (3)는 제 4b 도에 나타낸 바와 같이 실리콘층 (2a)의 이면이 데미지를 받게 된다.
이어서 M/C (12)의 리어 게이트를 열어 핸들링 장치에 의하여 웨이퍼(2)를 M/C (12)로부터 제 2 의 L/L 챔버 (13)에 이송한다 (STEP 54).
게이트를 닫고 제 2 의 L/L 챔버 (13)의 내압이 ATC (14)의 내압과 대략 같은 정도로 될 때까지 L/L 챔버 (13)내를 배기한다.
ATC (14)의 프론트 게이트 (36)를 열어 핸들링 장치에 의하여 웨이퍼(2)를 L/L 챔버 (13)로부터 ATC(14)에 이송한다 (STEP 55).
정전 챔버기구에 의하여 웨이퍼 (2)를 얹어 놓는대 (23)의 위에 고정 한다.
얹어 놓는대 (23)는 미리 소정의 온도, 예를 들면 50℃정도로 가열되어 있다.
승강장치 (22)에 의하여 웨이퍼를 얹어 놓는대 (23)를 상승시키고 이 온트랩 (38)과 반도체 웨이퍼 (2)의 간극 (L)을 소정의 간극 (라디칼이 많이 존재한다. 예를들면 10 - 50mm)에 설정한다.
이후, 배기장치(34)에 의해 배기시키면서 기체유량 조정기 (33a)(33b)에 의해 유량제어하면서 처리가스공급원 (32a),(32b)으로 부터 소정의 처리가스 예를들면 (O2+CF4)의 혼합가스를 플라즈마 생성부 (25)내에 도입한다.
이와동시에 정합회로 (29)를 경유하여 고주파 전원 (30)으로부터 전극(28a),(28b)사이에 소정의 주파수, 예를들면, 1356 MHz 의 고주파전력을 공급한다.
그러면, 처리부 (24)에 비교하여 가는 지름 플라즈마 생성부 (25)내에서 고밀도의 플라즈마가 발생하고 이 플라즈마 가스가 도시한 화살표와 같이 확산수송된다.
그리고 이온 트랩 (38)을 통과할 경우에 이 플라즈마 중의 이온이 제거되고, 남은 라디칼이 웨이퍼를 얹어 놓는대 (23)상의 반도체웨이퍼(2)에 공급되어 실리콘층(2a)의 데미지연 (3)에 대하는 동방성 에칭 및 포트 레지스트층 (2c)에 대하는 에슁이 동시에 실시된다.(STEP 56)
이 때, 소망량의 동방성에칭과 소망량의 에슁을 실시하기 위해서는 에칭레이트와 에슁레이트의 비를 제어할 필요가 있다.
에칭레이트/에슁레이트 (이하, VS.POLY이라한다.)의 제어는 전극 (28a),(28b)로 인가전력, 처리가스의 유량, 압력, 성분 및 거리 (L) 적어도 1개를 조절하도록 되어 있다.
거리 (L)이 VS.POLY에 영향을 미치는 *에 대하여 제 5 도를 참조하면서 설명한다.
플라즈마를 이온 트랩 (38)의 구멍 (38b)에 통과시키면 이온이 제거되고 산소원자라디칼 및 불소원자 라디칼로 되어 처리부 (24)내의 웨이퍼 (2)에 도달한다.
이중에 산소원자 라디칼이 웨이퍼 (2)상의 포트 레지스트층 (2c)과 반응하여 포트레지스트층 (2c)이 제거된다 (에슁).
한편, 불소원자 라디칼은 웨이퍼 (2)의 실리콘층 (2a)과 반응하여 데미지부 (3)(깊이 50 - 100Å)만이 가볍게 제거된다 (등방성 에칭).
통상, 산소원자 라디칼은 불소원자 라디칼에 비교하여 수명이 짧다.
이 때문에 이온트랩 (38)으로부터 웨이퍼 (2)까지의 거리 (L)를 지나치게 크게하면 웨이퍼 (2)에 도달하기전에 산소원자 라디칼이 산소가스에 되돌아 가버리고, 포트 레지스트층 (2c)이 산화반응에 의하여 제거할 수 없게 된다.
한편, 거리 (L)을 지나치게 적게하면 등방성 에칭속도가 증대하여 적정한 경도의 에칭레이트 (라이트에칭레이트)를 잡지 못한다.
제 4c 도에 나타낸 바와같이 ATC (14)내에서 라이트 에칭 및 에슁된 결고, 웨이퍼 (2)에서 데미지부 (3) 및 포드레지스트 층 (2c)이 제거된다.
또한 ATC (14)에서 제 3 의 L/L챔버 (15)에 웨이퍼를 이송하고 (STEP 57) 이어서 L/L 챔버 (15)로부터 웨이퍼(2)를 반출한다. (STEP 58).
이어서, 제 6 도 내지 제 9 도를 참조하면서 본 실시예의 효과에 대하여 설명한다.
제 6 도 내지 제 9 도 각 그래프의 세로축에는 에칭 레이트(E/R)과, 유니포 미티 (UNIF)와, 에칭레이트/에슁레이트의 비(VS.POLY)를 나타내고 있다.
제 6 도의 가로축에는 교류전력을 나타내고, 제 7 도의 가로축에는 처리가스의 압력을 나타내고, 제 8 도의 가로축에는 처리가스의 유량을 나타내고, 제 9 도의 가로축에는 처리가스의 비율 (CF4의 첨가율)을 나타내고 있다.
또한, 제 8 도의 경우를 제외하고 가스유량 (CF4+O2토탈)은 1250 SCCM이고, 제 9 도의 경우를 제외하고 처리가스의 비율은 O2=90%,CF4=10%이다.
통상, 에칭레이트에 대하여 에슁레이트의 비 (VS.POLY)는 200 - 500으로 되도록 선택할 필요가 있다.
이와같이 본 실시예의 ATC의 (14)에서는 처리부 (24)에 비교하여 가는 지름의 플라즈마 생성부 (25)내에서 고밀도의 플라즈마를 발생시키고 이 플라즈마를 수송하여 이온 트랩 (38)을 통하여 처리부 (24)내에 배치된 반도체 웨이퍼 (2)에 작용시킨다.
따라서, 고밀도의 플라즈마를 고효율으로 발생시킬 수 있고, 에슁정도에서의 레지스트의 에슁레이트를 종래에 비교하여 대폭으로 향상시킬 수 있고 (예를들면 종래의 30배정도) 낱장처리에서도, 전처리인 이방성에칭과 동등한 스루풋 (예를들면 1장/분) 얻을 수가 있다.
또, 이온 트랩 (38)에 의해 플라즈마 중의 이온을 제거하고, 라디칼 만을 반도체 웨이퍼 (2)에 작용시킬 수 있으므로 반도체 웨이퍼 (2)에 데미지를 주는 것이 없고, 동방성 에칭을 실시 할 수가 있다.
또, 이와 같이 이방성 에칭과 동등한 스루풋을 얻을 수 있으므로, M/C (12)와 ATC (14)를 L/L 챔버 (13)에 의해 접속하여 반도체 웨이퍼 (2)를 대기에 접촉시키는 일 없이 반송하고, 이들의 사이에서 순차적으로 이방성 에칭공정과 동방성 에칭 공정을 실시한 시스템을 구성하는 것이 가능하게 된다.
이 때문에, 상술한 메탈 에칭 프로세스에서도 반도체웨이퍼 (2)에 잔류한 감소계 에칭가스가 대기중의 수분과 반응하여 메탈층을 부식시키는 일이 벗고, 부식에 의한 수율저하도 방지된다.
또한, 실리콘에 대한 동방성 에칭 및 포트레지스트막에 대한 에슁을 동시에 실시할 수 있기 때문에 스루풋의 향상을 꾀할 수가 있다.
단, 에슁장치가 동방성 에칭공정과 에슁공정과의 사이의 반송기구등이 불필요하게 됨으로서 장치의 점유바닥면적의 삭감등을 꾀할 수 있고, 특히, 건설 코스트가 높은 클린룸내의 스페이스의 유효활용을 꾀할 수 있다.
또, 지금까지, 상술한 실시예에서는 플라즈마전원으로서 교류를 사용하였으나 물론 이것에 한정하는 것은 없고 직류도 바꿔놓는 것도 가능하다.
이상 설명한 바와같이 본 발명의 기판처리장치 및 기판 처리방법에 의하면, 동방성 에칭공정 및 에슁공정에서의 처리속도를 종래에 비교하여 고속화할 수 있고, 처리량의 향상, 장치의 점유바닥면적의 삭감, 대기와 비접촉의 처리등을 가능하게 할 수 있다.

Claims (15)

  1. 기판처리부(24)및 플라즈마 생성(25,26,27,28a,28b,29,30)를 가지는 챔버 (14)와, 기판처리부(24)와 플라즈마 생성부의 사이에 설치된 이온트랩수단과, 상기 기판처리부(24)내에서 기판을 지지하도록 설치된 얹어놓는대(23)와, 상기 얹어놓는대(23)에 접속되며, 상기 기판과 상기 이온트랩수단사이의 거리를 조절하는 조절수단과, 에칭처리용의 제 1 의 가스가 수잡된 제 1 의 가스공급원(32b)과, 에슁처리용의 제 2 의 가스가 수납된 제 2 의 가스공급원(32a)과, 상기 제 1 및 제 2 의 가스공급원(32a),(32b)및 상기 플라즈마 생성부에 접속되며, 제 1 및 제 2 의 가스를 상기 플라즈마 생성부로부터 이온트랩수단을 통과한 후에 상기 기판처리부(24)로 공급하는 공급수단(31,33a,33b)과, 상기 처리부(24)를 배기하는 배기장치(34)와, 상기 플라즈마 생성부와, 공급수단 및 조절수단에 접속되며, 에칭처리와 에슁처리가 처리부내의 기판에 대하여 동시에 진행하도록, 상기 플라즈마 생성부, 공급수단 및 조절수단을 제어하는 콘트롤러(21)를 가지는 기판처리장치.
  2. 제1항에 있어서, 상기 플라즈마 생성부(25)의 지름이 상기 처리부(24)의 지름보다 작게 구성되는 기판처리장치.
  3. 제1항에 있어서, 상기 플라즈마 생성부(25)가 상기 처리부(24)의 위쪽에 위치하는 기판처리장치.
  4. 제1항에 있어서, 상기 처리부(24)내의 기판과 상기 이온 트랩수단이 마주보고 있는 기판처리장치.
  5. 제1항에 있어서, 상기 플라즈마 생성부(25)는, 고주파 전원(30)에 접속된 제 1 의 전극과, 이 제 1 의 전극에서는 절연되어 접지된 제 2 의 전극을 가진 기판처리장치.
  6. 제1항에 있어서, 상기 이온 트랩수단은, 접지된 많은 구멍의 금속판인 기판처리장치.
  7. 제1항에 있어서, 상기 가스는 산소및 불소를 포함한 혼합가스인 기판처리장치.
  8. 제1항에 있어서, 상기 처리부(24)내의 기판을 가열하는 수단을 추가로 포함하는 기판처리장치.
  9. 챔버의 처리부(24)내에 기판을 제조하는 공정과, 플라즈마 생성부(25)내에 가스를 도입하는 공정과, 상기 플라즈마 생성부(25)내의 가스를 플라즈마화하는 공정과, 상기 플라즈마 중에서 중성의 라디칼을 꺼내는 공정과, 중성의 라디칼을 상기 처리부(24)내의 기판에 작용시키고 등방성 에칭과 에슁을 동시에 진행시키는 것으로 구성되는 기판처리방법.
  10. 제10항에 있어서, 상기 가스의 유량, 압력및 성분중 적어도 1개를 조절함으로서 에슁레이트와 등방성에칭 레이트의 비를 제어하는 기판처리방법.
  11. 제10항에 있어서, 상기 플라즈마 생성량을 조절함으로서 에슁레이트와 등방성 에칭레이트의 비를 제어하는 기판처리방법.
  12. 제10항에 있어서, 상기 중성의 라디칼을 플라즈마중에서 꺼내는 수단과, 기판의 상호간극을 조절함으로서, 에슁레이트와 등방성에칭레이트의 비를 조절하는 기판처리방법.
  13. 제10항에 있어서, 에슁레이트를 등방성 에칭레이트의 200-500 배로 제어하는 기판처리방법.
  14. 제10항에 있어서, 처리중에 기판을 가열하는 공정을 추가로 포함하는 기판처리방법.
  15. 제10항에 있어서, 상기 가스는 산소및 불소를 포함한 혼합 가스인 기판처리방법.
KR1019910021425A 1990-11-30 1991-11-27 기판처리장치 및 기판처리방법 KR100238623B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2335671A JP2888258B2 (ja) 1990-11-30 1990-11-30 基板処理装置および基板処理方法
JP90-335671 1990-11-30

Publications (2)

Publication Number Publication Date
KR920010777A KR920010777A (ko) 1992-06-27
KR100238623B1 true KR100238623B1 (ko) 2000-01-15

Family

ID=18291212

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910021425A KR100238623B1 (ko) 1990-11-30 1991-11-27 기판처리장치 및 기판처리방법

Country Status (6)

Country Link
US (1) US5385624A (ko)
EP (2) EP0488393B1 (ko)
JP (1) JP2888258B2 (ko)
KR (1) KR100238623B1 (ko)
DE (1) DE69124672T2 (ko)
TW (1) TW285745B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
KR100496903B1 (ko) * 2002-10-12 2005-06-28 주식회사 아이피에스 Ald 박막증착장치 및 그를 이용한 박막증착방법
KR100496906B1 (ko) * 2002-10-21 2005-06-28 주식회사 아이피에스 Ald 박막증착장치
KR20150043959A (ko) * 2013-10-15 2015-04-23 에스피티에스 테크놀러지스 리미티드 플라즈마 에칭 장치

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
DE4132558C1 (ko) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
US5578129A (en) * 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
JP3223661B2 (ja) * 1993-08-31 2001-10-29 ソニー株式会社 プラズマ堆積方法
US5783100A (en) * 1994-03-16 1998-07-21 Micron Display Technology, Inc. Method of high density plasma etching for semiconductor manufacture
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5571577A (en) * 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
KR100275597B1 (ko) * 1996-02-23 2000-12-15 나카네 히사시 플리즈마처리장치
US6248206B1 (en) * 1996-10-01 2001-06-19 Applied Materials Inc. Apparatus for sidewall profile control during an etch process
DE19734278C1 (de) * 1997-08-07 1999-02-25 Bosch Gmbh Robert Vorrichtung zum anisotropen Ätzen von Substraten
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6049736A (en) * 1997-09-03 2000-04-11 Medtronic, Inc. Implantable medical device with electrode lead having improved surface characteristics
US6399445B1 (en) * 1997-12-18 2002-06-04 Texas Instruments Incorporated Fabrication technique for controlled incorporation of nitrogen in gate dielectric
US6107192A (en) 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6013316A (en) 1998-02-07 2000-01-11 Odme Disc master drying cover assembly
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
EP1055249A1 (en) * 1998-02-09 2000-11-29 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6074514A (en) * 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6611249B1 (en) 1998-07-22 2003-08-26 Silicon Graphics, Inc. System and method for providing a wide aspect ratio flat panel display monitor independent white-balance adjustment and gamma correction capabilities
US6742701B2 (en) * 1998-09-17 2004-06-01 Kabushiki Kaisha Tamura Seisakusho Bump forming method, presoldering treatment method, soldering method, bump forming apparatus, presoldering treatment device and soldering apparatus
US6291361B1 (en) * 1999-03-24 2001-09-18 Conexant Systems, Inc. Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films
JP4382265B2 (ja) * 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6886491B2 (en) * 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US6991739B2 (en) * 2001-10-15 2006-01-31 Applied Materials, Inc. Method of photoresist removal in the presence of a dielectric layer having a low k-value
AU2002363972A1 (en) * 2001-11-21 2003-06-10 The Regents Of The University Of California Low temperature compatible wide-pressure-range plasma flow device
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4673290B2 (ja) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
FR2858333B1 (fr) 2003-07-31 2006-12-08 Cit Alcatel Procede et dispositif pour le depot peu agressif de films dielectriques en phase vapeur assiste par plasma
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
JP4246654B2 (ja) * 2004-03-08 2009-04-02 株式会社日立ハイテクノロジーズ 真空処理装置
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20090011150A1 (en) * 2004-08-04 2009-01-08 Hyeong-Tag Jeon Remote Plasma Atomic Layer Deposition Apparatus and Method Using Dc Bias
US7597816B2 (en) * 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
KR100823949B1 (ko) * 2005-06-30 2008-04-22 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치
US7358484B2 (en) 2005-09-29 2008-04-15 Tokyo Electron Limited Hyperthermal neutral beam source and method of operating
JP2008052911A (ja) * 2006-08-22 2008-03-06 Shinku Device:Kk プラズマ照射装置
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US20080179008A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US7967996B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Process for wafer backside polymer removal and wafer front side photoresist removal
US8334506B2 (en) 2007-12-10 2012-12-18 1St Detect Corporation End cap voltage control of ion traps
US7973277B2 (en) * 2008-05-27 2011-07-05 1St Detect Corporation Driving a mass spectrometer ion trap or mass filter
CN101640997B (zh) * 2008-07-31 2011-10-05 英业达股份有限公司 主板模块阵列
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5486383B2 (ja) * 2010-04-13 2014-05-07 富士フイルム株式会社 ドライエッチング方法及び装置
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20140174359A1 (en) * 2011-09-09 2014-06-26 Toshiba Mitsubishi-Electric Industrial Systems Corporation Plasma generator and cvd device
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
JP2017152531A (ja) * 2016-02-24 2017-08-31 東京エレクトロン株式会社 基板処理方法
JP2017162931A (ja) * 2016-03-08 2017-09-14 株式会社ディスコ デバイスチップの製造方法
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP2021509525A (ja) * 2017-12-27 2021-03-25 マトソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマ処理装置および方法
EP4224512A1 (en) * 2020-09-30 2023-08-09 Bondtech Co., Ltd. Substrate bonding method and substrate bonding system

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS543343A (en) * 1977-06-08 1979-01-11 Rainfuaruto Kougiyou Kk Method of thin layer paving
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
JPS58168230A (ja) * 1982-03-30 1983-10-04 Fujitsu Ltd マイクロ波プラズマ処理方法
JPS627271A (ja) * 1985-07-04 1987-01-14 Toshiba Corp 電子スチルカメラ
JPS6210687A (ja) * 1985-07-09 1987-01-19 三双電機株式会社 集団教育装置
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
JPS63116428A (ja) * 1986-11-05 1988-05-20 Hitachi Ltd ドライエツチング方法
JP2631650B2 (ja) * 1986-12-05 1997-07-16 アネルバ株式会社 真空装置
JPH01183121A (ja) * 1988-01-18 1989-07-20 Hitachi Ltd アッシング装置
JPH0642462B2 (ja) * 1988-09-07 1994-06-01 日電アネルバ株式会社 プラズマ処理装置
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
EP0416646B1 (en) * 1989-09-08 2000-02-09 Tokyo Electron Limited Apparatus and method for processing substrates
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JPH0775226B2 (ja) * 1990-04-10 1995-08-09 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン プラズマ処理方法及び装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
KR100496903B1 (ko) * 2002-10-12 2005-06-28 주식회사 아이피에스 Ald 박막증착장치 및 그를 이용한 박막증착방법
KR100496906B1 (ko) * 2002-10-21 2005-06-28 주식회사 아이피에스 Ald 박막증착장치
KR20150043959A (ko) * 2013-10-15 2015-04-23 에스피티에스 테크놀러지스 리미티드 플라즈마 에칭 장치
KR102223700B1 (ko) * 2013-10-15 2021-03-04 에스피티에스 테크놀러지스 리미티드 플라즈마 에칭 장치

Also Published As

Publication number Publication date
EP0488393A3 (en) 1992-07-15
JP2888258B2 (ja) 1999-05-10
TW285745B (ko) 1996-09-11
EP0488393A2 (en) 1992-06-03
EP0488393B1 (en) 1997-02-12
US5385624A (en) 1995-01-31
EP0680070A1 (en) 1995-11-02
KR920010777A (ko) 1992-06-27
DE69124672T2 (de) 1997-06-19
DE69124672D1 (de) 1997-03-27
JPH04206719A (ja) 1992-07-28

Similar Documents

Publication Publication Date Title
KR100238623B1 (ko) 기판처리장치 및 기판처리방법
KR100265288B1 (ko) 반도체소자 제조용 식각장치의 배플
US20020036066A1 (en) Method and apparatus for processing substrates
US5858878A (en) Semiconductor wafer etching method and post-etching process
JP2002222861A (ja) プラズマ前処理モジュールを具備した装置における半導体素子の製造方法
JP2003338491A (ja) プラズマ処理装置および半導体装置の製造方法
JPH0547712A (ja) プラズマ処理方法およびプラズマ処理装置
WO2004012235A2 (en) Atmospheric pressure plasma processing reactor
KR100870997B1 (ko) 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
KR20190083982A (ko) 에칭 방법
JPH10312899A (ja) プラズマ処理方法及びプラズマ処理装置
JP3002496B2 (ja) 半導体ウェハのドライエッチング方法
JP3362093B2 (ja) エッチングダメージの除去方法
KR0175073B1 (ko) 실리콘 함유층의 에칭방법
US4405406A (en) Plasma etching process and apparatus
JP3172340B2 (ja) プラズマ処理装置
US20120234491A1 (en) Plasma processing method and plasma processing apparatus
KR20150116003A (ko) 기판 처리 장치, 기판 처리 설비, 그리고 기판 처리 방법
JP2007103509A (ja) レジスト処理装置
JPH02110925A (ja) 真空処理装置
JP3373466B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI797525B (zh) 半導體裝置的製造方法
KR100323598B1 (ko) 플라즈마에칭방법
EP1076355A2 (en) Method and apparatus for cleaning a chamber configured for copper deposition

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101012

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee