KR100218363B1 - 데이터 라인 공유 회로 - Google Patents

데이터 라인 공유 회로 Download PDF

Info

Publication number
KR100218363B1
KR100218363B1 KR1019970011423A KR19970011423A KR100218363B1 KR 100218363 B1 KR100218363 B1 KR 100218363B1 KR 1019970011423 A KR1019970011423 A KR 1019970011423A KR 19970011423 A KR19970011423 A KR 19970011423A KR 100218363 B1 KR100218363 B1 KR 100218363B1
Authority
KR
South Korea
Prior art keywords
data
input
control signal
communication
transceiver
Prior art date
Application number
KR1019970011423A
Other languages
English (en)
Other versions
KR19980075252A (ko
Inventor
박성재
Original Assignee
구본준
엘지반도체주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 구본준, 엘지반도체주식회사 filed Critical 구본준
Priority to KR1019970011423A priority Critical patent/KR100218363B1/ko
Publication of KR19980075252A publication Critical patent/KR19980075252A/ko
Application granted granted Critical
Publication of KR100218363B1 publication Critical patent/KR100218363B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/36Handling requests for interconnection or transfer for access to common bus or bus system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation

Abstract

본 발명은 데이터 라인 공유 회로에 관한 것으로 특히, 비동기 직렬 데이터 통신과 적외선 통신을 위한 데이터 라인을 공유하도록 회로를 구성하고 제어 신호에 따라 하나의 통신방식을 결정하도록 함으로써 소자의 크기를 줄임과 아울러 소모 전력을 감소시킬 수 있도록 창안한 것이다. 이러한 본 발명은 비동기 직렬 제이터 통신의 경우 제1 제어 신호(SEN)에 의해 인에이블되어 비동기 송수신기(210)와 RS - 232C라인 드라이버(220)간의 데이터 송수신을 수행하는 제1 데이터 입출력부(250)와, 적외선 통신의 경우 제2 제어 신호(IREN)에 의해 인에블되어 상기 비동기 송수신기(210)와 IR 부호화기/복호화기(230)간의 데이터 송수신을 수행하는 제2 데이터 입출력부(260)와, 비트(bit1) (bit2)를 입력으로 비동기 직렬 데이터 통신 또는 적외선 통신의 여부를 판별함에 의해 상기 제1, 제2 데이터 입출력부(250) (260)에 제1, 제2 제어 신호(SEN) (IREN)를 출력하는 입출력 제어부(270)으로 구성한다. 따라서 본 발명은 두가지 통신 방식을 위한 데이터 라인을 공통 접속하고 통신 방식에 따라 데이터 전송 경로를 결정하도록 구성함으로써 게이트 수를 줄여 소자의 크기를 줄일 수 있음은 물론 제조 단가를 감소시킬 수 있는 효과가 있다.

Description

데이터 라인 공유 회로
본 발명은 데이터 라인 접속에 관한 것으로 특히, 서로 다른 통식 방식을 위한 소자간의 데이터 라인을 공유하도록 한 데이터 라인 공유 회로에 관한 것이다.
현재 적외선 통신과 RS - 232C 직렬 통신을 위해서는 비동기 송수신기(UART)를 필요로한다.
도1은 종래의 비동기 직렬 통신을 위한 회로의 블록도로서 이에 도시된 바와 같이, 비동기 송수신기(UART) (111)와 시스템의 통신 포트(COM port)사이에 RS - 232C 라인 드라이브(112)를 접속하여 구성한다.
도2는 종래의 적외선 통신을 위한 회로의 블로도로서 이에 도시된 바와 같이, 비동기 송수신기(121)와 IR(123)사이에 IR 부호화기/복호화기(122)를 접속하여 구성한다.
상기(IR) 부호화기/복호화기(122)는 펄스폭 변조기(3/16 Plus Width Modulator) (122a)와 펄스폭 복조기(Edge Detector/Plus Width Demdulator) (122b)를 포함하여 구성된다.
이러한 종래 기술의 동작 과정을 설명하면 다음과 같다.
비동기 직렬 데이터 통신을 수행하는 경우 마이크로 프로세서(도면 미도시)에서 비동기 송수신기(UART) (111)로 병렬 데이터를 전송하면 상기 비동기 송수신기(111)는 직렬 데이터로 변화하여 RS - 232C 라인 드라이버(112)로 출력하게 된다.
이에 따라, RS - 232C 라이 드라이버(112)가 직렬 데이터(TXD)를 시스템의 통신 포트(COM port)로 전송하게 된다.
그리고, 시스템의 통신 포트(COM port)로부터 직렬 데이터(RXD)가 RS - 232C 라인 드라이버(112)가 그 직렬 데이터를 비동기 송수신기(111)로 전송하게 된다.
이에 따라, 비동기 송수신기(111)는 직렬 데이터를 병렬 데이터로 변환하여 마이크로 프로세서(도면 미도시)로 전송하게 된다.
상기의 동작이 반복 진행됨에 의해 비동기 직렬 데이터 통신이 수행되어진다.
또한, 적외선 통신을 수행하는 경우 마이크로 프로세서(도면 미도시)에서 병렬 데이터를 비동기 송수신기(121)에 전송하면 상기 비동 송수신기(121)는 직렬데이터로 변환하여 IR 부호화기/복호화기(122)에 전송하게 된다.
이때, IR부호화기/복호화기(122)는 3/16펄스폭 변조기(122a)가 적외선 통신에 적합한 데이터(IR - TXD) 변환하여 IR송수신기(123)에 전송하게 된다.
이에 따라, IR 송수신기(123)가 데이터(IR - TXD)에 따른 적외선 신호를 송출하게 된다.
그리고, IR 송수신기(123)에 적외선 신호가 수신되며 그에 따른 데이터(IR - RXD)를 IR부호화기/복호화기(122)에 전송하게 된다.
이때, IR부호화기/복호화기(122)는 펄스폭 복조기(122b)가 입력 데이터(IR - RXD)를 직렬 데이터로 변환하여 비동기 송수신기(121)에 전송하게 된다.
이에 따라, 비동기 송수신기(121)는 직렬 데이터를 병렬 데이터로 변환하여 마이크로프로세서(도면 미도시)에 전송하게 된다.
상기의 동작이 반복 진행됨에 의해 적외선 통신이 수행되어진다.
그러나, 이러한 종래의 기술은 RS - 232C 라인 드라이버와 IR 송수신기를 서로 독립적으로 사용하므로 프로그래밍이 쉬우나, 2가지 통신 방식을 하나의 회로에서 수행하도록 하드웨어를 구성하는 경우 2개의 비동기 송수신기(UART)를 필요로 하므로 회로의 크기가 커짐은 물론 제조 단가가 상승하는 문제점이 있었다.
따라서, 본 발명은 종래의 문제점을 개선하기 위하여 비동기 직렬 데이터 통신과 적외선 통신을 위한 데이터 라인을 공유하도록 회로를 구성하고 제어 신호에 따라 하나의 통신방식을 결정하도록 함으로써 소자의 크기를 줄임과 아울러 소모 전력을 감소시킬 수 있도록 창안한 데이터 라인 공유 회로를 제공함에 목적이 있다.
제1도는 종래의 비동기 직렬 통신을 위한 회로의 블록도.
제2도는 종래의 적외선 통신을 위한 회로의 블록도.
제3도는 본 발명에 따른 실시예의 블록도.
제4도는 제3도에서의 통신 방식 결정을 보인 표.
* 도면의 주요부분에 대한 부호의 설명
210 : 비동기 송수신기(UART) 220 : RS - 232C 라인 드라이버
230 : IR 부호화기/ 복호화기 230a : 펄스폭 변조기
230b : 펄스폭 복조기 240 : IR 송수신기
250, 260 : 데이터 입출력부 251, 252, 261, 262 : 3상태 버퍼
270 : 입출력 제어부
본 발명은 상기의 목적을 달성하기 위하여 비동기 직렬 데이터 통신의 경우 제1 제어 신호에 의해 인에이블되어 비동기 송수신기와 RS - 232C 라인 드라이버간의 데이터 송수신을 수행하는 제1 데이터 입출력 수단과, 적외선 통신의 경우 제2 제어 신호에 의해 인에이블되어 비동기 송수신기와 IR 송수신기가 접속된 IR부호화기/복호화기간의 데이터 송수신을 수행하는 제2데이터 입출력 수단과, 비동기 직렬 데이터 통신 또는 적외선 통신의 여부를 판별하여 제1, 제2 제어 신호를 선택적으로 인에이블시키는 입출력 제어 수단으로 구성함을 특징으로 한다.
상기 RS - 232C 라인 드라이버 및 제1 데이터 입출력 수단은 입출력 제어 수단으로 부터의 동일한 제어 신호에 의해 비동기 직렬 데이터 통신의 경우에만 인에이블되도록 구성한다.
상기 IR 송수신기 및 제2 데이터 입출력 수단은 입출력 제어 수단으로부터의 동일한 제어 신호에 의해 적외선 통신의 경우에만 인에이블되도록 구성한다.
상기 제1, 제2 데이터 입출력 수단은 데이터 송출방향이 서로 반대인 2개의 3상태 버퍼로 각기 구성한다.
상기 입출력 제어 수단은 제어 레지스터로부터의 2개의 입력 비트가 동일한 레벨인 경우 제1, 제2 제어 신호를 디스에이블시키도록 구성한다.
이하, 본 발명을 도면에 의거 상세히 설명하면 다음과 같다.
도3는 본 발명의 실시예를 보인 블록도로로서 이에 도시한 바와 같이, 비동기 직렬 데이터 통신의 경우 제1 제어 신호(SEN)에 의해 인에이블되어 비동기 송수신기(210)와 RS - 232C 라인 드라이버(220)간의 데이터 송수신을 수행하는 제1 데이터 입출력부(250)와, 적외선 통신의 경우 제2 제어 신호(IREN)에 의해 인에이블되어 IR 송수신기(240)가 접속된 IR 부호화기/복호화기(230)와 상기 비동기 송수신기(210)간의 데이터 송수신을 수행하는 제2 데이터 입출력부(260)와, 비트(bit1) (bit2)를 입력으로 비동기 직렬 데이터 통신 또는 적외선 통신의 여부를 판별하여 상기 제1, 제2 데이터 입출력부(250) (260)에 제1, 제2 제어 신호(SEN) (IREN)를 출력하는 입출력 제어부(270)으로 구성한다.
상기 IR 부호화기/ 복호화기(230)에는 IR 송수신기(240)가 접속되어 구성된다.
상기 입출력 제어부(270)는 비트(bit)만이 로우 레벨일 경우 RS - 232C 라인 드라이버(220) 및 제1 데이터 입출력부(250)에 제어 신호(SEN)를 인에이블시켜 인가하고 비트(bit2)만이 로우 레벨인 경우 IR 송수신기(240) 및 제2 데이터 입출력부(260)에 제어 신호(IREN)를 인에이블시켜 인가하며 비트(bit1) (bit2)가 하이 또는 로우로 동일한 경우에는 제어 신호(SEN) (IREN)를 디스에이블시키도록 구성한다.
상기 제1, 제2 데이터 입출력부(250) (260)는 데이터 송출 방향이 서로 반대인 2개의 3상태 버퍼로 각기 구성한다.
이와같이 구성한 바와 같이 본 발명의 실시예에 대한 동작 및 작용 효과를 설명하면 다음과 같다.
우선, 비트(bit)만이 로우 레벨로 입력되어 비동기 직렬 데이터 통신으로 판단하는 경우 입출력 제어부(270)는 제어 신호(SEN)만을 로우로 인에이블시키게 된다.
이때, 제어 신호(SEN)가 로우 레벨로 인에이블됨에 의해 제1 데이터 입출력부(250)는 2개의 3상태 버퍼(251) (252)가 온되고 RS - 232C 라인 드라이버(220) 는 전원 공급 상태가 된다.
그리고, 입출력부 제어부(270)에서 제어 신호(IREN)가 하이 레벨로 출력되므로 제2데이터 입출력부(260)의 3상태 버퍼(261) (262)는 오프되고 IR 송수신기(230)는 전원 차단 상태가 된다.
따라서, 마이크로프로세서(도면 미도시)에서 병렬 데이터가 출력되면 비동기 송수신기(210)에서 직렬 데이터로 변환하여 제1 데이터 입출력부(250)에 전송하게 된다.
이때, 제1 데이터 입출력부(250)는 3상태 버퍼(251)를 통해 직렬 데이터를 RS - 232C 라인 드라이버 (220)로 출력하게 된다.
이에 따라, RS - 232C 라인 드라이버(220)가 직렬 데이터(TXD)를 시스템의 통신 포트(COM port)로 전송하게 된다.
그리고, 시스템의 통신 포트(COM port)로부터 직렬 데이터(RXD)가 RS - 232C 라인 드라이버(220)로 전송되면 상기 RS - 232C 라인 드라이버(220)로 전송되면서 상기 RS - 232C 라인 드라이버(220)는 그 직렬 데이터를 제1 데이터 입출력부(250)에 전송하게 된다.
이때, 제1 데이터 입출력부(250)는 3상태 버퍼(252)를 통해 직렬 데이터를 비동기 송수신기(210)로 전송하게 된다.
이에 따라, 비동기 송수신기(210)는 직렬 데이터를 병렬 데이터로 변환하여 마이크로프로세서(도면 미도시)로 전송하게 된다.
상기의 동작이 반복 진행됨에 의해 비동기 직렬 데이터 통신이 수행되어진다.
즉, 입출력 제어부(270)에서 제어 신호(SEN)이 인에이블되는 경우, 제1 데이터 입출력부(250)의 3상태 버퍼(251) (252)만이 동작하여 비동기 직렬 데이터 통신이 수행되어진다.
또한, 비트(bit2) 만이 로우 레벨로 입력되어 적외선 통신으로 판단하는 경우 입출력 제어부(270)는 제어 신호(IREN)만을 로우로 인에이블시키게 된다.
이때, 로우 레벨인 제어 신호(IREN)에 의해 제2 데이터 입출력부(260)는 2개의 3상태 버퍼(261) (262) 가 온되고 IR 송수신기(240)는 전원 공급 상태가 된다.
그리고, 입출력 제어부(270)에서 제어 신호(SEN)가 하이 레벨로 출력되므로 제1 데이터 입출력부(250)의 3상태 버퍼(251) (252)는 오프 상태를 유지하고 RS - 232C 라인 드라이버(220)는 전원 차단 상태가 된다.
이에 따라, 마이크로 프로세서(도면 미도시)에서 병렬 데이터르 비동기 송수신기(220)에 전송하면 상기 비동기 송수신기(220)는 직렬 데이터로 변환하여 제2 데이터 입출력부(260)에 출력하게 된다.
이때, 제2 데이터 입출력부(260)는 3상태 버퍼(261)를 통해 직렬 데이터를 IR 부호화기/복호화기(230)에 전송하게 된다.
이에 따라, IR 부호화기/복호화기(230)는 3/16펄스폭 변조기(230a)가 적외선 통신에 적합한 데이터(IR - TXD)로 변환하여 IR 송수신기(240)에 전송하게 된다.
따라서, IR 송수신기(240)에 적외선 신호가 수신되면 그에 따른 데이터(IR - RXD)를 IR 부호화기/복호화기(230)에 전송하게 된다.
이때, IR 부호화기/복호화기(230)는 펄스폭 복조기(230b)가 입력 데이터(IR - RXD)를 직렬 데이터로 변환하여 제2 데이터 입출력부(260)에 전송하게 되고 그 제2 데이터 입출력부(260)는 3상태 버퍼(262)가 직렬 데이터를 비동기 송수신기(210)에 전송하게 된다.
이에 따라, 비동기 송수신기(210)는 직렬 데이터를 병렬 데이터로 변환하여 마이크로 프로세서(도면 미도시)에 전송하게 된다.
상기의 동작이 반복 진행됨에 의해 적외선 통신이 수행되어진다.
즉, 입출력 제어부(270)에서 제어 신호(IREN)이 인에이블되는 경우 제2 데이터 입출력부(260)의 3상태 버퍼(261) (262)만이 동작하여 적외선 통신이 수행되어진다.
한편, 입출력 제어부(270)는 입력 비트(bit1) (bit2)가 모두 로우 또는 하이인 경우 제어 신호(SEN) (IREN)를 모두 하이 레벨로 디스에이블시키게 된다.
이에 따라, 제1, 제2 데이터 입출력부(250) (260)는 3상태 버퍼(251, 252) (261, 262)가 오프 상태를 유지하고 RS - 232C 라인 드라이버(220) 및 IR 송수신기(240)는 전원 공급 차단 상태가 된다.
따라서, 비동기 직렬 데이터 통신 및 적외선 통신이 모두 수행되어지지 않는다.
상기에서 입출력 제어부(270)는 비트(bit1) (bit2)의 입력에 따라, 제1 데이터 입출력부(250)/RS - 232C 라인 드라이버(220) 또는 제2 데이터 입출력부(260)/IR 송수신기(230)의 동작 상태를 결정함에 있어 하나의 통신 방식만을 동작시키므로 전력 소모를 감소시키게된다.
상기 입출력 제어부(270)는 비트(bit1) (bit2)의 입력에 따른 제어 신호(SEN) (IREN)의 레벨은 도4의 표에 도시된 바와 같다.
상기에서 상세히 설명한 바와 같이 본 발명은 두가지 통신 방식을 위한 데이터 라인을 공통 접속하고 통신 방식에 따라 데이터 전송 경로를 결정하도록 구성함으로써 게이트 수를 줄여 소자의 크기를 줄일 수 있음은 물론 제조 단가를 감소시킬 수 있는 효과가 있다.

Claims (5)

  1. 제1 제어 신호(SEN)에 의해 인에이블되어 비동기 송수신기(UART)와 RS - 232C 라인 드라이버간의 데이터 송수신을 수행하는 제1 데이터 입출력 수단과, 제2 제어신호(IREN)에 의해 인에이블되어 펄스폭 변조/복조를 수행하는 IR 부호화기/복호화기와 상기 비동기 송수신기(UART)간의 데이터 송수신을 수행하는 제2 데이터 입출력 수단과, 상기IR 부호화기/복호화기의 전송 데이터(IR - TXD)에 따른 적외선 신호를 송출하고 적외선 신호를 수신하여 상기 IR 부호화기/복호화기애 수신 데이터(IR - RXD)를 전송하는 IR 송수신기와, 제1, 제2 입력 비트(bit1) (bit2)를 입력으로 비동기 직렬 데이터 통신 또는 적외선 통신의 여부를 판별하여 제1, 제2 제어 신호(SEN) (IREN)를 선택적으로 인에이블시키는 입출력 제어 수단으로 구성하여 상기 제1, 제2 데이터 입출력 수단이 상기 비동기 송수신기의 데이터 입출력단자를 공유하도록 함을 특징으로 하는 데이터 라인 공유 회로.
  2. 제1항에 있어서, 입출력 제어 수단은 제1 비트(bit1)만이 로우 레벨인 경우 제1 제어 신호(SEN)를 인에이블시키고, 제2비트(bit2)만이 로우 레벨인 경우 제2 제어 신호(IREN)를 인에이블시키며 제1, 제2비트(bit1) (bit2)가 모두 동일한 레벨인 경우 제1, 제2 제어 신호(SEN) (IREN)를 모두 디스에이블시키도록 구성한 것을 특징으로 하는 데이터 라인 공유 회로.
  3. 제1항에 있어서, RS - 232C 라인 드라이버는 제어 신호(SEN)가 인에이블인 경우에만 전원을 공급받도록 구성한 것을 특징으로 하는 데이터 라인 공유 회로도.
  4. 제1항에 있어서, IR 송수신기 제어 신호(IREN)가 인에이블인 경우에만 전원을 공급받도록 구성한 것을 특징으로 하는 데이터 라인 공유 회로도.
  5. 제1항에 있어서, 제1, 제2 데이터 입출력 수단은 데이터 송출 방향이 서로 반대인 2개의 3상태 버퍼로 각기 구성한 것을 특징으로 하는 데이터 라인 공유 회로도.
KR1019970011423A 1997-03-29 1997-03-29 데이터 라인 공유 회로 KR100218363B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019970011423A KR100218363B1 (ko) 1997-03-29 1997-03-29 데이터 라인 공유 회로

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019970011423A KR100218363B1 (ko) 1997-03-29 1997-03-29 데이터 라인 공유 회로

Publications (2)

Publication Number Publication Date
KR19980075252A KR19980075252A (ko) 1998-11-16
KR100218363B1 true KR100218363B1 (ko) 1999-09-01

Family

ID=19501314

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970011423A KR100218363B1 (ko) 1997-03-29 1997-03-29 데이터 라인 공유 회로

Country Status (1)

Country Link
KR (1) KR100218363B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100382932B1 (ko) * 2001-04-12 2003-05-09 엘지전자 주식회사 이동통신단말기의 직렬 및 유에스비 포트에 대한 공용인터페이스장치

Also Published As

Publication number Publication date
KR19980075252A (ko) 1998-11-16

Similar Documents

Publication Publication Date Title
WO1994022092A2 (en) Method and apparatus for implementing a common mode level shift in a bus transceiver incorporating a high speed binary data transfer mode with a ternary control transfer mode
US6725304B2 (en) Apparatus for connecting circuit modules
US6731688B1 (en) Speed signaling for data communications
KR100218363B1 (ko) 데이터 라인 공유 회로
KR101815333B1 (ko) 공통 통신포트로 rs-232c와 rs-485 통신을 수행하는 시리얼 통신 장치
KR100218364B1 (ko) 데이터 라인 공유 회로
KR0167644B1 (ko) 다수의 통신 전송 방식을 선택적으로 사용하기 위한 통신시스템
KR950015081B1 (ko) 통신모드 절환방법 및 그 장치
KR100275705B1 (ko) 데이터 송/수신 시스템
KR100430235B1 (ko) 시스템보드와서브보드간의데이터전송제어회로
KR0127877Y1 (ko) 알에스-485/알에스-232 컨버터를 구비한 피엘씨 통신 네트워크
KR100942108B1 (ko) Rs-485 인터페이스를 이용한 rs-422 통신 처리장치
JPH1196111A (ja) Rs232cインタフェースとrs485インタフェースとの信号変換装置
KR19990065297A (ko) 마이콤과 메인 시스템의 데이터 전송방법 및 장치
US20230246883A1 (en) Four Wire High Speed Communication Systems
JPH0659064B2 (ja) 通信制御装置
KR100574359B1 (ko) 직렬데이터의 송수신 장치 및 그 방법
KR200156564Y1 (ko) 직렬 통신장치
JPH1168720A (ja) 伝送路の二重通信方式
KR900007677B1 (ko) 다기능 전송제어회로
JPH03255515A (ja) 信号変換器
KR0141326B1 (ko) 데이터전송 제어방법
KR0122738Y1 (ko) 원거리 통신망의 송수신 확장 장치
KR200311237Y1 (ko) 다중 시리얼 통신 장치
KR19980034794A (ko) 다수의 인터럽트 정합장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20070518

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee