KR0151734B1 - 진공분위기를 형성하는 장치 및 처리장치 - Google Patents

진공분위기를 형성하는 장치 및 처리장치 Download PDF

Info

Publication number
KR0151734B1
KR0151734B1 KR1019910012430A KR910012430A KR0151734B1 KR 0151734 B1 KR0151734 B1 KR 0151734B1 KR 1019910012430 A KR1019910012430 A KR 1019910012430A KR 910012430 A KR910012430 A KR 910012430A KR 0151734 B1 KR0151734 B1 KR 0151734B1
Authority
KR
South Korea
Prior art keywords
process chamber
susceptor
gap
wall
chamber
Prior art date
Application number
KR1019910012430A
Other languages
English (en)
Other versions
KR920003431A (ko
Inventor
사또시 가네꼬
다이찌 후지다
도시히사 노자와
요이찌 우에다
유끼마사 요시다
이사히로 하세가와
하루오 오까노
Original Assignee
이노우에 아끼라
도꾜 일렉트론 리미티드
아오이 죠이찌
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP19246890A external-priority patent/JPH0478134A/ja
Application filed by 이노우에 아끼라, 도꾜 일렉트론 리미티드, 아오이 죠이찌, 가부시끼가이샤 도시바 filed Critical 이노우에 아끼라
Publication of KR920003431A publication Critical patent/KR920003431A/ko
Application granted granted Critical
Publication of KR0151734B1 publication Critical patent/KR0151734B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

플라즈마 에칭처리 장치는 반도체 웨이퍼를 재치 고정하기 위한 서셉터와, 서셉터를 냉각하기 위한 냉각 자켓과, 서셉토 및 냉각 자켓을 둘러싸는 프로세스 챔버벽과, 프로세스 챔버벽의 내부통이 세셉터 및 냉각 자켓의 측주변면과 대면하는 것에 의해 형성된 간극을 프로세스 챔버의 처리분위기에서 차단하는 O링과, 이 간극안의 가스를 배기하는 배기펌프를 가진다. 또, 프로세스 챔버에 대하여 웨이퍼를 반입 또는 반출하는 로드록 챔버와, 프로세스 챔버와의 대향면 사이에 장입되는 단열부재를 가진다. 배기된 간극에 의해 프로세스 챔버벽이 서셉터 및 냉각 자켓에서 차단되고, 또, 단열부재에 의해 프로세스 챔버와 로드록 챔버가 차단된다.

Description

진공 분위기를 형성하는 장치 및 처리장치
제1도는 본 발명의 실시예에 관한 마그네트론 플라즈마 에칭 시스템을 나타내는 전체 레이아웃도.
제2도는 마그네트론 플라즈마에칭 시스템의 프로세스 챔버의 개요를 나타내는 종단면도.
제3도는 냉각 자켓의 하면을 나타내는 평면도.
제4도는 로드 록 챔버가 프로세스 챔버에 대하여 접속되는 부분 가운데, 로드록 챔버의 접속면을 나타내는 평면도.
제5도는 로드록 챔버가 프로세스챔버에 대하여 접속되는 부분을 나타내는 부분 단면도.
본 발명은, 진공 분위기를 형성하는 장치 및 처리 장치에 관하며, 특히 마그네트론 플라즈마 에칭 장치의 프로세스 챔버내에서의 단열구조, 및 프로세스챔버와 로드록 챔버와의 사이의 단열 구조에 관한 것이다.
종래부터 진공 챔버내에서 반도체 웨이퍼에 각종 처리를 하는 장치가 제공되고 있다. 특히, 반도체 제조 프로세스에서는 반도체 웨이퍼는 에칭처리, 어싱(earthing)처리, 성막처리등에 있어서 여러 가지 열적 영향을 받는다.
예를 들면, 플라즈마에칭 장치에서는 이방성 에칭을 행하기 위해, 고온의 플라즈마가 발생하고, 플라즈마의 복사열에 의해 반도체 웨이퍼가 여러 가지 열손상을 받는다. 이 때문에 서셉터를 하부냉각자켓에 의해 냉각하고, 반도체 웨이퍼를 간접적으로 냉각한다. 이 경우에 서셉터는 상면(웨이퍼 재치면)만의 챔버내의 처리 분위기에 노출되고, 다른 부분(측면 및 저면)은 처리 분위기에 노출되지 않는다. 또, 서셉터 및 냉각 자켓의 측면은 프로세스 챔버내에 위치하고, 냉각부의 저면은 대기를 통하여 프로세스 챔버에 접속되고 있다.
서셉터 및 냉각부의 측면을 가리는 챔버는 그 측면의 주위에서 세라막등의 절연체를 통하여 배치되고, RIE방식의 플라즈마 에칭의 경우에는 서셉터가 RF음극으로서 사용되고, 이 챔버벽이 그랜드하게 설정되고 있다. 이 경우에, 서셉터/냉각부와, 챔버벽과의 사이의 열전달에 대해서는 아무런 배려도 되어 있지 않았기 때문에, 챔버벽에서 냉각부로의 열침입이 크고, 웨이퍼의 냉각이 불충분하게 됨과 동시에, 챔버벽이 상당한 저온도까지 온도강하한다.
그런데, 챔버벽이 온도강하하면, 플라즈마에칭처리중에 생긴 여러 가지 비상물(飛翔物)이 챔버내 벽에 부착 퇴적되고, 챔버내벽이 오염된다. 챔버내 벽이 오염되면, 부착물이 챔버내 벽에서 비산하여 웨이퍼에 부착되고, 처리의 원료에 대한 제품 비율이 저하한다. 또, 이것을 피하기 위해서는 프로세스 챔버의 유지보수 작업을 빈번하게 행할 필요가 있다.
현상에서는 웨이퍼 냉각의 설정온도는 -60℃~-100℃정도이지만, 더욱 웨이퍼의 저온처리화가 진행하고, 설정온도가 -150℃에 도달하는 것도 예정되어 있다. 이 때문에, 금후 더욱 프로세스 챔버내 벽의 오염이 증대하는 것이 에상된다.
거기서, 프로세스 챔버내벽의 오염 방지를 도모하기 위해, 필요에 따라 프로세스 챔버벽에 히터를 매입하고, 챔버벽을 적극적으로 히터 가열하고 있다.
그런데, 이런 종류의 감압처리장치에 있어서는 프로세스 챔버에 인접하여 로드록 챔버가 설치되어 있다. 포드록 챔버는 비기장치에 연통되고, 단시간으로 프로세스 챔버의 내압과 같은 레벨의 압력가지 감압할수 있도록 되어 있다. 로드록 챔버를 경유하여 웨이퍼를 프로세스 챔버내로 출납함에 따라 프로세스 챔버내로의 더스트나 입자등의 침입이 방지된다.
통상, 프로세스 챔버 및 로드록 챔버는 알루미늄등의 금속으로 구성되고, 서로의 게이트가 대향하는 위치에서 금속면끼리를 면접촉 시켜서 볼트 고정되어 있다.
종래의 프로세스 챔버를 가열하는 타입의 처리장치에 있어서는 프로세스 챔버 및 로드록 챔버의 금속면끼리가 면접촉하고 있기 때문에, 프로세스 챔버벽측에서 로드록 챔버벽측으로의 열 이동량이 커진다. 다시 말하면, 열용량이 커지기 때문에, 프로세스 챔버벽을 소정온도로 가열하기 위해서는 상당한 히팅파워(heating power)와 시간을 요한다.
또, 프로세스 챔버벽을 소정온도로 유지하기 위한 온도제어가 매우 곤란하다.
또한, 포드록 챔버내에는 웨이퍼 반송용의 로보트 구조의 웨이퍼 핸드링 기구가 설치되어 있으며, 프로세스 챔버에서의 전도열에 의해 로드록 챔버의 온도가 상승하면 할수록, 핸드링 기구의 구동부의 마모량이 증대한다. 이것에 의해, 로드록 챔버내에 무시할수 없는 양의 더스트가 발생하고, 이것이 반도체 웨이퍼에 부착하여 처리 원료에 대한 제품의 비율이 저하한다. 또, 구동부의 마모량이 증대하면, 핸드링 기구의 수명이 짧아진다.
본 발명의 목적은 비상물이 부착하는 부분을 포함하는 챔버만을 가열할 수 있도록 한 진공분위기를 형성하는 장치를 제공하는데 있다.
또 본 발명의 또 하나의 목적은 프로세스 챔버 및 로도록 챔버 사이에 있어서 열적 상호작용을 저감할수 있는 처리장치를 제공하는데 있다.
본 발명의 측면에 따라, 처리장치를 포함하는 피처리체를 재치고정하기 위한 서셉터와, 상기 서셉터를 온도 콘트롤 하기 위한 온도 조절부와, 상기 서셉터 및 상기 온도 조절부를 둘러싸는 프로세스 챔버벽과, 상기 프로세스 챔버벽의 일부가 상기 서셉터 및 상기 온도 조절부의 측주변면과 대면하는 것에 의해 형성된 간극을 상기 프로세스 챔버의 처리 분위기에서 차단하는 시일 수단과, 상기 간극의 안의 가스를 배기하는 배기수단을 가지고, 그중에서 배기된 간극에 의해 상기 프로세스 챔버벽이 상기 서셉터 및 상기 온도조절부에서 차단된다.
또, 웨이퍼를 냉각하면서 처리하는 한쪽에서 챔버벽이 가열되는 프로세스 챔버와, 상기 프로세스 챔버에 대하여 웨이포를 반입 또는 반출하는 로드록 챔버와, 상기 프로세스 챔버 및 로드록 챔버의 대향면 사이에 장입되는 단열부재를 가지는 것을 포함하는 반도체 웨이퍼처리장치이다.
이하, 본 발명을 마그네트론 플라즈마 에칭시스템에 이용한 예에 대하여, 첨부한 도면을 참조하면서 설명한다.
제1도에 도시한 바와 같이 마그네트론 플라즈마 에칭 시스템은 프로세스 챔버(100), 두 개의 로드록 챔버(130), 및 두 개의 웨이퍼 카세트 대기 섹션(로딩/언로딩섹션)(90,91)으로 구성되어 있다. 프로세스 챔버(100)는 2개의 로드록 챔버(130)사이에 레이아웃되어 있다. 각 로드록 챔버(130)와 프로세스 챔버(100)를 서로 막는 격벽에는 개구(14)가 각각 형성되고, 각 개구(14)는 게이트 블록(16)에의해 기밀(氣密)하게 밀폐 차단되도록 되어 있다.
한쪽의 로드록 챔버(130)에는 로딩섹션(90)이 인접하여 있다. 타방의 로드록 챔버(130)에는 언로딩 섹션(91)이 인접하여 있다.
로딩섹션(90) 및 언로딩 섹션(91)에 대하여 1개의 웨이퍼 카세트(WC)가 로보트(도시하지 않음)에 의해 반입 반출 되도록 되어 있다.
로딩/언로딩 섹션(90,91)과 각 로드록 챔버(130)를 서로 막는 격벽에는 개구(12)가 형성되고, 각 개구(12)는 게이트록(16)에 의해기밀하게 차단되도록 되어 있다. 각 웨이퍼 카세트(WC)는 25매의 실리콘 웨이프(W)를 수납할수 있다.
제1 및 제2 로드록 챔버(130)내에는 핸드링 장치(18)가 각각 설치되고, 핸드링 장치(18)에 의해 실리콘 웨이퍼(W)가 프로세스 챔버(100)에 반입 또는 반출 되도록 되어 있다. 또한, 배기 파이프(131)의 한쪽 끝이 각 로드록 챔버(130)의 내부에 연통하고, 파이프(131)의 다른쪽끝이 배기펌프(도시하지 않음)의 흡인구에 연통하고 있다.
제2도에 도시한 바와 같이, 프로세스 챔버(100)의 내에 RIE방식의 에칭장치가 수납되어 있다. 프로세스 챔버(100)의 하우징은 상부 프레임(30) 및 하부 프레임(32)을 편성하여 만들어져 있다. 절연 프레임(56)에 의해 주위에서 절연된 서셉터(52,54)가 하부 프레임(32)의 위에 설치되어 있다. 상부 프레임(30)은 접지되어 있으며, 기능 서셉터(하부 서셉터)(54)에 RF전원(도시하지 않음)에서 전력이 공급되면, 대향 전극이 구성된다.
실리콘 웨이퍼(W)는 상부 서셉터(52)의 상면에 재치 고정된다. 이 재치 고정 방식으로서는 예를 들면 정전 척 방식이 채용된다. 상부 서셉터(52)는 하부 서셉터(54)에 대하여 착탈 가능하게 고정되어 있다. 이와같이, 분리 가능한 두 개의 서셉터(52,54)로 구성하고 있는 이유는 RF전원에 접속된 하부 서셉터(54)를 유지 보수 자유롭게 하고, 오염된 상부 서셉터(52)만을 교환하기 때문이다. 또한, 하부 서셉터(54)에는 히터(53)가 매입되어 있다. 이 히터(53)는 서셉터(52)상의 웨이퍼(W)의 온도를 미조정하기 위해 이용된다. 또 히터(31)는 프로세스 챔버(100)의 내벽에 반응 생성물이 부착하는 것을 방지하는 데 충분한 온도까지 챔버(100)의 벽을 가열하기 위한 것이며, 외부통 프레임(30)의 하부에 매입되어 있다. 외부통 프레임(30)은 알루미늄판의 열량도체로 만들어져 있다.
상부 서셉터(52) 및 하부 서셉터(54)의 측부변면 및 저면은 절연 프레임(56)에 의해 가려져 숨겨지고, 상부 서셉터(52)의 상면만이 프로세스 분위기에 노출되어 있다. 또한, 상부 서셉터(52)와 절연 프레임(56)과의 사이에 O링(40)이 삽입되고, 양자간에 제1간극(42)이 형성되어 있다. 또한, 상하부 서셉터(52,54)의 측주변면 및 절연 프레임(56)의 내주면은, 모두 경면(鏡面)마무리 되어 있다. 또, 제1간극(42)은 고진공 상태이다.
절연 프레임(56)의 바로 아래에 냉각자켓(20)이 설치되어 있다.
냉각자켓(20)의 내부에는 액체질소가 수용되어 있다. 이 냉각자켓(20)의 저부 내벽은 다공질로 형성되고, 저부에서 핵비등(nucleatebiling)을 일으킬수가 있으며, 자켓(20)내의 액체질소를 -196℃의 온도로 유지할 수가 있다. 냉각자켓(20) 및 히터(53)에 의해 서셉터(52)상의 웨이퍼(W)는 처리중에 있어서 -60℃이하의 온도에 냉각된다.
복수개의 절연부재(22)가 냉각자켓(20)과 하부프레임의 보톰(bottom)(32b)과의 사이에 삽입되고, 양자간에 제2간극(23)이 형성되어 있다. 한편, 하부 프레임의 보톰(32b)에서 내부통(32a)이 상방을 향하여 늘어나고, 내부통(32a)에 의해 냉각자켓(20) 및 절연 프레임(56)이 프로세스분위기에서 덮어서 가려져 있다. O링(44)이 절연 프레임(56)과 내부통(32a)과의 사이에 삽입되고, 제3간극(24)이 형성되어 있다. 또한, 절연 프레임(56)의 외주면 및 내부통(32a)의 내주면은 경면 마무리 되어 있다. 또, O링(40,44)은 테프론등으 불소수지로 만들어져 잇다.
제3도에 도시한 바와 같이, 냉각자켓(20)을 지지하는 복수개의 절연부재(22)는 서로 떨어져 있다. 이 때문에, 상술한 제2간극(23)과 제3간극(24)과는 서로 연통하고 있다. 또한, 제3간극(24)은 절연 프레임(56), 냉각자켓(20)의 각각과 내부통(32a)이 밀착하지 않을 정도이면, 좁으면 좁을수록 바람직하다.
제2도에 도시한 바와 같이, 프레임(30,32)으로 둘러싸여진 프로세스분위기내는 제1배기관(34)을 통하여 진공 배기 되도록 되어 있다. 한편, 제2 및 제3간극(23,24)은 제2배기관(36)을 통하여 진공배기 되도록 되어 있다.
서셉터(52)상의 웨이퍼(W)와 대면하는 장치로서, 상부 프레임(30)의 외측 상방에 영구자석(122)을 하면에 구비한 원반(124)이 배치되어 있다. 원반(124)의 상부에 모터(126)의 샤프트(128)가 부착되어 있다. 원반(124)에 부착된 영구자석(124)이 모터(126)에 의해 회전되면, 웨이퍼(W)의 근방에 그 면과 평행한 자장이 형성되도록 되어 있다. 즉 자계의 방향은 전게의 방향에 대하여 직교하여 있다.
또한, 영구자석(122)의 대신에 전자석을 이용하여 자계를 형성하여도 좋다.
프로세스 챔버(100)내의 에칭 가스는 배기파이프(34)를 통하여 배기되고, 이것에 의해 프로세스 챔버(100)의 내압이 10-2~10-3Torr의 범위가 되기까지 감압된다. 에칭 가스는 상기 대향전극 사이에서 플라즈마화 된다. 마그네트론 플라즈마 에칭에서는, 자장과, 이것에 직교하는 플라즈마 시스의 전계의 상화 작용에 의해, 전자가 사이클로이드 운동을 하고, 분자에 전자가 충돌하여 전리시키는 회수를 증가시킨다. 따라서, 상술한 바와 같이 낮은 압력이여도 큰 에칭속도가 얻어진다.
다음으로, 제4도 및 제5도를 참조하면서, 로드록 챔버(130) 및 프로세스 챔버(100)의 접속부에 대하여 설명한다. 제4도에는 로드록 챔버(130)측의 접합면을 나타내는 평면도를 나타내고, 제5도는 프로세스 챔버(100) 및 로드록 챔버(130)를 연결한 상태에 있어서 제4도의 V-V라인의 단면도를 나타낸다.
로드록 챔버(130)의 접합면에 대하여 게이트(17)가 개구하여 있으며, 이 게이트(17)의 주위에는 O링용 홈(134)이 형성되어 있다. 게이트(17) 및 O링 시일면(135)의 주위에는 요부(140)가 형성되어 있다. 요부(140)의 저면에는 예를 들면 5개소에 작은 요부(142)가 형성되어 있다.
또, 작은 요부(142)에는 단열성 높은 세라믹으로 이루어지는 단열부재(144)가 부착 고정되어 있다. 이 단열부재(144)에는 턱부 부착구멍(146)이 형성되어 있으며, 단열부재(144)를 로드록 챔버(130)에 고정하기 위한 나사(148)의 머리가 부재(144)의 정상면보다 돌출하지 않도록 되어 있다. 또한, 단열부재(144)의정상면이 로드록 챔버(130)를 부착할때의 기준면으로 되며, 이 기준면이 로드록 챔버(130)의 접합면에 있어서 가장 돌출하는 면으로 된다.
본 실시에에서는 단열부재(144)의 정상면을 기준면으로 했을 경우에, 이 기준면보다 상기 O링 시일면(1350에 이르는 클리어랜스 C1은 0.1㎜이며, 기준면보다 요부(140)의 저면에 이르는 클리어랜스 C2는 5㎜이다. 요부(140)의 주위에는 볼트 부착면(150)이 형성되고, 이 볼트 부착면(150)에는 복수의 볼트 삽입구멍(152)이 형성되어 있다. 또 기준면도다 볼트 부착면(150)에 이르는 클리어랜스 C3은 1㎜이다.
볼트 부착면(150)의 단부에는 제1, 제2, 제3위치 결정용 세라믹 부재(154, 156, 158)가 설치되어 있다. 제1, 제2위치 결정용 세라믹 부재(154, 156)는 볼트 부착면(150)의 하단부보다 하측에 돌출하고, 이 돌출한 턱부차이에 의해 프로세스 챔버(100)를 부착할때에, 상하방향의 위치결정을 행할 수가 있다.
한편, 제4도에 도시한 바와 같이, 제3위치결정용 세라믹 부재(158)는 볼트 부착면(150)의 우단부보다 우측으로 돌출하고, 이 돌출한 턱부차이에 의해 좌우 방향의 이치 결정을 행할 수가 있다.
로드록 챔버(130)에 연결 고정된 프로세스 챔버(100)의 접합면(162)은 예를 들면 볼트 부착면(164)과 면일하게 되어 있다. 프로세스 챔버(100)의 게이트(166)는 로드록 챔버(130)의 게이트(17)와 대향하는 위치에 설치되어 있다. 또한, 로드록 챔버(130)측에는 게이트(17)를 개폐하기 위한 게이트 밸브(도시하지 않음)가 설치되어 있다.
제5도에 도시한 바와 같이, 프로세스 챔버(100) 및 로드록 챔버(130)의 연결은 홈(144)에 O링(136)을 끼워넣고, 볼트(170) 및 너트(172)를 이용하여 이루워진다. 또한, 이 플라즈마 에칭 시스템은 프로세스 챔버(100)내에서 웨이퍼(W)의 에칭 처리를 행하기 위한 프로그램을 포함하는 각종 부재를 구비하고 있다.
다음으로, 작용에 대하여 설명한다.
본 실시예의 RIE방식의 플라즈마 에칭장치에서는 상부챔버(30)를 접지하고, 상하 서셉터(52,54)에 RF전력을 공급함으로써 대향전극을 구성하고 있다. 또, 웨이퍼(W)와 대향하는 위치로서, 상부챔버(30)의 상하로 영구자석(122)을 회전시키고, 웨이퍼(W)의 근방에 그 면과 평행한 자장을 형성하는 것으로, 마그네트론 에칭처리 분위기를 형성하고 있다. 그리고, 프로세스 챔버(100)내를 진공으로 한 상태에서, 에칭가스를 도입하고, 상기 대향 전극간에 에징가스에 의한 플라즈마를 생성한다.
여기서 상기의 마그네트론 플라즈마에칭을 행함에 즈음하여, 피처리체인 웨이퍼(W)를 예를들면 -60℃정도의 온도로 냉각하고 있다. 이 때문에 냉각자켓(20)이 설치되고, -196℃의 액체 질소를 이용하여, 웨이퍼(W)를 냉각하고 있다. 여기서, 웨이퍼(W)의 냉각을 행함에 있어서는 이상적으로는 냉각자켓(20)과 웨이퍼(W)의 사이에서만 열교환이 행해지고, 다른 부재와의 열교환을 극력 억제하는 것으로 효율좋은 웨이퍼(W)의 냉각이 가능하다. 웨이퍼(W)의 온도의 미조정은 히터(53)의 온도조정에 의해 실행된다. 이와같이 하는 것으로, 특히, 하부챔버한(32)가 냉각되는 것을 방지할수 있고, 이 저온영역에 반응 생성물이 부착하는 것을 방지할수도 있다. 또한, 열의 전달을 향상시키기 위해, 상하 서셉터(52,54)의 접촉면에 불활성 가스나 수소가스등의 가스가 도입된다.
본 실시예에서는, 상사 서셉터(52,54)의 측면과, 절연 프레임(56)의 내주면과의 사이에 제1간극(58)을 형성하고 또한, 하부챔버(32)의 측벽(32a)의 내측면에도, 제3간극(24)을 형성함으로써, 상하 서셉터(52,54)또는 냉각자켓(20)과, 하부챔버(32)의 사이의 열전도를 방지(진공단열)할 수가 있다.
또한, 제1간극(58)과 제3간극(24)의 상단측은 각각 제1의 O링(40) 및 제2의 O링(44)에 의해 시일되고, 또한 제2배기계(36)에 의해 상기 각 간극(58,24)을 진공 단열층으로서 구성하고 있다.
이 결과, 이들의 진공단열층에 의해 각 간극(58,24)에서의 열대류도 방지할 수가 있으며, 효과적인 단열효과를 얻을수가 있다. 이 결과, 하부챔버(32)의 내벽으로서의 반응 생성물의 부착을 방지할 수가 있다.
또한, 본 실시에에서는 챔버내의 진공배기를 행하는 제1배기계(34)와는 별도로, 제2배기계(36)를 설치하고, 이 배기계(36)에 의해 제2,제3의 간극(23,24)의 진공을 행하고 있다. 이 결과, 챔버내에 비산하는 반응 생성물은 제1배기계(34)의 배기경로를 따라 배기되고, 각 간극(58,24)에 대한 벽면에 반응 생성물이 침입하고, 부착하는 것을 방지할수 있다.
다음으로, 로드록 챔버(130)와 프로세스 챔버(100)와의 사이의 단열작용에 대하여 설명한다.
웨이퍼(W)는 한쪽의 로드록 챔버(130)를 경유하여 프로세스 챔버(100)내에 반입된다. 프로세스 챔버(100)의 내벽에 반응 생성물이 부착하는 것을 방지하기 위해, 에칭처리중에 있어서는 챔버(100)의 외벽온도가 예를 들면 60℃정도로 되도록 히터(31)에 의해 챔버(100)를 가열한다.
챔버(100,130)의 접합면에 있어서, 양자가 직접 접촉하는 부재로서는, 세라믹 부재(144), O링(136), 및 볼트(170)만이다. 비교적 접촉면적이 넓은 세라믹 부재(144)로서 단열성이 양호한 부재를 채용하고 있으므로, 이 세라믹 부재(144)를 통한 전도열량은 금속면끼리에 의한 전도열량과 비해 매우 작아진다. 한편, 그밖의 직접 접촉부재는 접촉면적이 비교적 좁기 때문에, 이 부재(144)를 통한 전도열량도 매우 작게 된다.
상술한 직접 접촉부재 이외의 영역에 있어서는 알루미늄제의 프로세스 챔버(100)와 로드록 챔버(130)의 금속면 사이에는 간극(180)이 반드시 존재하고 있으므로, 양자는 비접촉으로 되어 있다. 따라서, 이 영역에서는 공기에 의한 열대류만에 의해 열전도가 행해지고, 종래의 금속면끼리의 고체열전도에 비해 단열 효과를 대폭으로 높일수가 있다.
상기 실시예에서는 O링(136) 및 볼트(170)등의 체결구를 제외하고, 직접 접촉하는 부재를 단열부재(144)로 하는 것이다. 이 비금속 단열부재(144)는 상기 실시예와 같은 세라믹에 한정되는 것은 아니라, 비금속에 한하지 않고 단열성을 가지는 것이면 어느 재료도 선택할 수가 있다. 또한, 볼트(170)에 의한 열전도를 누를 수 있기 때문에 단열 링등을 채용할수도 있다.
또, 본 발명은 프로세스 챔버와 로드록 챔버를 연결하여 처리를 행하는 각종 장치에 적용할 수가 있으며, 상기 실시예와 같은 플라즈마 에칭장치만에 한정되는 것은 아닌 것은 말할 것도 없고, CVD스퍼터링 장치등의 다른 장치에도 이용할 수가 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 프로세스 챔버와 로드록 챔버의 상호 접합면의 단열효과를 높임에 따라, 프로세스 챔버 가열용 히터의 소비전력을 저감할수 있음과 동시에, 프로세스 챔버의 온도 제어가 용이하게 된다.
또한, 본 발명의 장치에 의하면, 반도체 웨이퍼의 온도를 독립으로 조절할 수가 있다.
또, 게다가, 상기 실시예에서는 반도체 웨이퍼의 처리에 대해 설명했으나, 반도체 웨이퍼외에도 LCD기판등의 다른 피처리체를 처리하기 위한 장치에도 본 발명의 장치를 적용할 수가 있다.

Claims (10)

  1. 피처리체를 재치 고정하기 위한 서셉터와, 상기 서셉터를 온도 콘트롤 하기위한 cooling section을 가지는 온도 조절부와 상기 서셉터 및 상기 온도조절부를 둘러싸는 프로세스 챔버벽과, 상기 프로세스 챔버벽의 일부가 상기 서셉터 및 상기 온도조절부의 측주변면과 대면하는 것에 의해 형성된 간극을 상기 프로세스 챔버의 처리 분위기에서 차단하는 시일 수단과, 상기 간극의 안의 가스를 배기하는 배기수단을 가지고, 그중에서 배기된 간극에 의해 상기 프로세스 챔버벽이 상기 서셉터 및 상기 온도 조절부에서 차단되는 것을 포함하는 처리장치.
  2. 제1항에 있어서, 상기 프로세스 챔버벽의 하부측벽에 가열용 히터가 매입되어 있는 것을 포함하는 처리장치.
  3. 제1항에 있어서, 상기 온도 조절부는 냉각자켓 및 가열용 히터를 가지는 것을 포함하는 처리장치.
  4. 제3항에 있어서, 상기 온도 조절부가 상기 서셉터상의 피처리체를 실온 이하로 냉각하는 냉각자켓을 가지는 것을 포함하는 처리장치.
  5. 제1항에 있어서, 상기 프로세스 챔버벽의 일부가 벽저부에서 상방으로 향하여 늘어나서 내부통을 형성하고, 이 내부통이 상기 서셉터 및 상기 온도 조절부의 측 주변면과 대면하는 것에 의해 상기 간극이 형성되는 것을 포함하는 처리장치.
  6. 제5항에 있어서, 상기 간극을 형성하는 상기 내부통, 상기 서셉터, 및 상기 온도 조절부의 측주변면이 경면 마무리 되어 있는 것을 포함하는 처리장치.
  7. 제1항에 있어서, 상기 서셉터에 RF전력을 공급하는 RF전력을 공급하는 RF전원과, 상기 프로세스 챔버벽을 접지하는 수단을 가지고, 상기 서셉터에 통전하면, 상기 서셉터 및 상기 프로세스 챔버벽의 사이에 플라즈마가 형성되는 것을 포함하는 처리장치.
  8. 제7항에 있어서, 상기 서셉터 및 상기 프로세스 챔버벽의 사이에 형성된 플라즈마에 자계를 부여하는 자계 부여 수단을 가지는 것을 포함하는 처리장치.
  9. 냉각부를 가지는 한쪽에서 챔버벽이 가열되는 프로세스 챔버와, 이 프로세스 챔버의 벽부와 상기 냉각부를 단열하는 수단과, 상기 프로세스 챔버에 인접하여 설치되고, 상기 프로세스 챔버에 대하여 피처리체를 반입 또는 반출하는 인접 챔버와, 상기 프로세스 챔버 및 상기 인접 챔버의 대향면 사이에 장입되는 단열부재와, 상기 챔버내를 소망의 진공도에 배기하는 수단을 가지는 것을 포함하는 진공 분위기를 형성하는 처리장치.
  10. 제9항에 있어서, 상기 단열부재에 의해, 상기 프로세스 챔버 및 상기 로드록 챔버의 대향면 사이의 대부분의 영역에 간극이 형성되어 있는 것을 포함하는 진공 분위기를 형성하는 처리장치.
KR1019910012430A 1990-07-20 1991-07-20 진공분위기를 형성하는 장치 및 처리장치 KR0151734B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2-192467 1990-07-20
JP90-192467 1990-07-20
JP19246790 1990-07-20
JP90-192468 1990-07-20
JP2-192468 1990-07-20
JP19246890A JPH0478134A (ja) 1990-07-20 1990-07-20 処理装置

Publications (2)

Publication Number Publication Date
KR920003431A KR920003431A (ko) 1992-02-29
KR0151734B1 true KR0151734B1 (ko) 1998-12-01

Family

ID=26507331

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910012430A KR0151734B1 (ko) 1990-07-20 1991-07-20 진공분위기를 형성하는 장치 및 처리장치

Country Status (4)

Country Link
US (1) US5223113A (ko)
EP (1) EP0467397B1 (ko)
KR (1) KR0151734B1 (ko)
DE (1) DE69115374T2 (ko)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4330717B2 (ja) * 1999-08-09 2009-09-16 東京エレクトロン株式会社 ホットプレートユニット及びホットプレートユニットの使用方法
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5427670A (en) * 1992-12-10 1995-06-27 U.S. Philips Corporation Device for the treatment of substrates at low temperature
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
DE9407482U1 (de) * 1994-05-05 1994-10-06 Leybold Ag Funktionseinrichtung für eine Vakuumanlage für die Behandlung von scheibenförmigen Werkstücken
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
JPH1068094A (ja) * 1996-06-13 1998-03-10 Samsung Electron Co Ltd 遷移金属薄膜用蝕刻ガス混合物およびこれを用いた遷移金属薄膜の蝕刻方法
US6328096B1 (en) * 1997-12-31 2001-12-11 Temptronic Corporation Workpiece chuck
US6646236B1 (en) 1999-01-25 2003-11-11 Ibiden Co., Ltd. Hot plate unit
US6416318B1 (en) 1999-06-16 2002-07-09 Silicon Valley Group, Inc. Process chamber assembly with reflective hot plate and pivoting lid
EP1079416A1 (en) * 1999-08-09 2001-02-28 Ibiden Co., Ltd. Hot plate unit
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6800172B2 (en) * 2002-02-22 2004-10-05 Micron Technology, Inc. Interfacial structure for semiconductor substrate processing chambers and substrate transfer chambers and for semiconductor substrate processing chambers and accessory attachments, and semiconductor substrate processor
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP3972195B2 (ja) * 2002-09-13 2007-09-05 株式会社安川電機 真空用モータ
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
JP4294976B2 (ja) * 2003-02-27 2009-07-15 東京エレクトロン株式会社 基板処理装置
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US7214274B2 (en) * 2003-03-17 2007-05-08 Tokyo Electron Limited Method and apparatus for thermally insulating adjacent temperature controlled processing chambers
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
JP2005191494A (ja) * 2003-12-26 2005-07-14 Canon Inc 露光装置、デバイスの製造方法
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR100631479B1 (ko) * 2004-09-25 2006-10-09 두산디앤디 주식회사 유도 결합 플라즈마 처리장치
US20060144337A1 (en) * 2005-01-06 2006-07-06 Hsien-Che Teng Heater for heating a wafer and method for preventing contamination of the heater
JP2008192802A (ja) * 2007-02-05 2008-08-21 Spansion Llc 半導体製造装置およびその製造方法
US20110180097A1 (en) * 2010-01-27 2011-07-28 Axcelis Technologies, Inc. Thermal isolation assemblies for wafer transport apparatus and methods of use thereof

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59163826A (ja) * 1983-03-08 1984-09-14 Toshiba Corp ドライエツチング方法
KR910005733B1 (ko) * 1986-01-17 1991-08-02 가부시기가이샤 히다찌 세이사꾸쇼 플라즈마 처리방법 및 장치
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
JPH0834205B2 (ja) * 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
JPS63204726A (ja) * 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4878995A (en) * 1987-07-02 1989-11-07 Kabushiki Kaisha Toshiba Method of dry etching and apparatus for use in such method
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
JPH01302726A (ja) * 1988-02-10 1989-12-06 Japan Synthetic Rubber Co Ltd 反応性イオンエッチング装置
JP2512783B2 (ja) * 1988-04-20 1996-07-03 株式会社日立製作所 プラズマエッチング方法及び装置
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor

Also Published As

Publication number Publication date
EP0467397B1 (en) 1995-12-13
KR920003431A (ko) 1992-02-29
US5223113A (en) 1993-06-29
DE69115374D1 (de) 1996-01-25
DE69115374T2 (de) 1996-05-30
EP0467397A1 (en) 1992-01-22

Similar Documents

Publication Publication Date Title
KR0151734B1 (ko) 진공분위기를 형성하는 장치 및 처리장치
KR101968174B1 (ko) 진공 처리 장치
KR100345420B1 (ko) 플라즈마처리장치
US6583064B2 (en) Low contamination high density plasma etch chambers and methods for making the same
US6193507B1 (en) Multi-function chamber for a substrate processing system
KR100624273B1 (ko) 플라즈마 처리 장치
KR0184677B1 (ko) 플라즈마 처리장치
US6103014A (en) Chemical vapor deposition chamber
KR100802667B1 (ko) 상부 전극, 플라즈마 처리 장치 및 처리 방법, 및 제어 프로그램을 기록한 기록매체
US5584973A (en) Processing apparatus with an invertible collimator and a processing method therefor
US20090095731A1 (en) Mounting table structure and heat treatment apparatus
JP2624975B2 (ja) 真空処理装置
KR102396430B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR100839250B1 (ko) 플라즈마 발생용 전극 및 플라즈마처리장치
JP7083463B2 (ja) 真空処理装置
TW200845186A (en) Plasma processing apparatus
US8342121B2 (en) Plasma processing apparatus
JP3043848B2 (ja) 処理装置
US6092486A (en) Plasma processing apparatus and plasma processing method
JP4669137B2 (ja) 分割可能な電極及びこの電極を用いたプラズマ処理装置
KR102533330B1 (ko) 진공 처리 장치
JPH11260881A (ja) 処理装置
TW202209400A (zh) 具有改進的選擇性和流導性的金屬氧化物預清潔腔室
US20210225681A1 (en) Vacuum processing apparatus
JPH0478134A (ja) 処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090609

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee