JPS6244971B2 - - Google Patents

Info

Publication number
JPS6244971B2
JPS6244971B2 JP57021038A JP2103882A JPS6244971B2 JP S6244971 B2 JPS6244971 B2 JP S6244971B2 JP 57021038 A JP57021038 A JP 57021038A JP 2103882 A JP2103882 A JP 2103882A JP S6244971 B2 JPS6244971 B2 JP S6244971B2
Authority
JP
Japan
Prior art keywords
electrode
plasma
reaction chamber
electrode housing
electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP57021038A
Other languages
English (en)
Other versions
JPS57156034A (en
Inventor
Aaru Kotsuku Jooji
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
RAMU RISAACHI CORP
Original Assignee
RAMU RISAACHI CORP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by RAMU RISAACHI CORP filed Critical RAMU RISAACHI CORP
Publication of JPS57156034A publication Critical patent/JPS57156034A/ja
Publication of JPS6244971B2 publication Critical patent/JPS6244971B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/16Vessels; Containers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】 本発明は一般的には真空処理チエンバーに係
り、特に平行板プラズマ処理チエンバーに関す
る。
イオン、電子、および中性粒子からなる高度に
イオン化された、電気的に中性な気体はプラズマ
として知られている。プラズマはイオンを含んで
いるので、その粒子の内の幾らかはエネルギ状態
が上昇しており、それらが保有している過剰なエ
ネルギは、プラズマ内の他の物質および(あるい
は)それと接触している固体物質へ移送されるこ
とがある。プラズマの原子構成および(あるい
は)分子構成、そのエネルギ、その密度、プラズ
マが接触している物質の組成、およびその他の要
因によつて、このようなエネルギ移送は、粒子間
あるいは粒子中での化学的反応によつて、エネル
ギ粒子の機械的衝突を介するか、あるいは機械的
衝突と化学的反応との組合わせによつて発生す
る。プラズマの正確な性質や、それが形成されて
いるチエンバーの形状によつて、これらのプロセ
スではプラズマと接触している固体物質の表面に
物質を沈積させたり、あるいは物質を除去したり
することがある。この理由から、材料片の表面を
機械的および(あるいは)化学的に変化させるた
めに、ある種の場合にはプラズマを製造プロセス
の中で用いることが認識されている。
もしプラズマが、アルゴンガス中で確立される
ような化学的に不活性なプラズマの中で生じるよ
うな、常に機械的衝突を介して固体物質と相互作
用する場合には、このプロセスは一般的にスパツ
タリングと呼ばれている。スパツタリングにおい
ては、原子は固体表面から加速された気体イオン
によつて除去された、これは表面を打撃し、そこ
から物質を放出させてしまうことによつて生じ、
ビリヤードの球の動きとよく似ている。衝突の後
は、入射粒子と放射粒子とは両方とも、それらが
それぞれ受けた力に対して独立的に応答する。こ
の理由によつて、スパツタリングプラズマが確立
されている場合には、プラズマ内の不活性気体の
純度を維持したり、気体状の噴出物を掃気する必
要があることを例外として、気体を補給したり、
新しくしたりする必要性はない。
もし、これとは別に、プラズマが気体を含有し
ている化学的に活性な材料片の中で形成され、プ
ラズマの相互作用が主として粒子間の化学反応に
よつて生じる場合には、このプロセスは一般的
に、材料片の表面において生成される正味の効果
によつて、プラズマ食刻あるいはプラズマ沈積と
称される。一般的に、プラズマ食刻プロセスは、
プラズマ気体と材料片の表面との間の生成物が気
体状態に維持されるように設計される。逆に、プ
ラズマ沈積プロセスは、化学反応の生成物が材料
片の表面上で固体状態となつて凝縮するように設
計される。従つて、プラズマの化学組成が反応進
行につれて変化するので、プラズマ状態になるよ
うに励磁された気体は、通常は、プラズマの形成
されているチエンバーの反応領域の中を連続的に
流れる。この気体の流れは、未反応の原子および
(あるいは)分子を一定的に補充供給し、かつ反
応による廃棄生成物を取り去る、という役割を果
たす。プラズマプロセスはその中で発生する化学
反応を最適化するように設計されているが、結局
は、プラズマが固体材料の表面と接触している場
合には、機械的なスパツタリングプロセスを全体
的になくすることは不可能である。この比較的低
い横方向の食刻率は、典型的な等湿性食刻プロセ
スにおける感光性耐食膜を減少させる。さらに、
プラズマ食刻は主としてプラズマが形成されてい
る気体の化学性および材料片の表面との反応に基
いているので、非等方性食刻と等方性食刻との間
に連続的な転移をさせるためにプラズマパラメー
タを変化させることが可能である。さらに、プラ
ズマのイオンエネルギおよびイオン密度を制御す
ることによつて、大部分のプラズマ食刻システム
は、材料片の表面から物質を除去するために、プ
ラズマ食刻とスパツタリングの両方を採用するこ
とができる。材料を除去するために、化学的なプ
ロセスと物理的なプロセスとを組合わせたそのよ
うなプロセスは、一般的には活性イオン食刻と呼
ばれ、湿式食刻によつて得られるよりも、線の巾
やエツヂの輪郭に関してより十分に調節すること
ができる。従つて、プラズマプロセスのパラメー
タを適正に制御することにより、非等方性食刻か
ら等方性食刻の壁部へ傾斜度を調節することが可
能となる。
半導体の食刻については、2つのプラズマ処理
チエンバー、即ち、バレル形、あるいは平行板形
チエンバーが一般的に用いられる。バレル形プラ
ズマ処理チエンバーにおいては、円板状の半導体
ウエーハは、その平坦な表面がバレル形反応チエ
ンバーの中心円筒軸線に対して直角に整列される
か、あるいはそれに沿つて配置されるように、位
置される。チエンバーの円筒状外面の周囲に位置
しかつ丁度その中に位置した複数個の電極によつ
て、処理チエンバー内の気体の中へ無線周波電流
が連結される。この電流によつて確立されたプラ
ズマ内に生成された化学的に活性な物質は、最初
は処理チエンバーの外周の周りに位置している
が、次第に平行なウエーハを取囲んでいる多孔性
の食刻トンネル壁を通して拡散し、その表面上の
反応領域へ到達する。しかしながら、バレル形プ
ラズマ食刻装置は一発般的に等方性食刻反応を生
ぜしめ、高密度の、小さな輪郭の集積回路製作に
は適していない。それとは別に、ウエーハの平坦
な表面が平行な電極に対して平行に、かつそれら
の間に位置している平行板食刻チエンバーは、非
等方性食刻を生じるように操作される。平行電極
の間を流れる無線周波電流に関する電気場が、プ
ラズマ内で生成される反応物に対して方向性を伝
達するので、平行板チエンバーからは非等方性食
刻を得ることができる。
一般的に、平行板プラズマ処理チエンバーは、
大きな真空チエンバーの壁内において電気的に絶
縁された対向的な平行な板を固定することによつ
て構成される。これら板は次に、チエンバーの外
部に配置された無線周波発生器に対して電気的に
連結される。このチエンバーにはさらに、一般的
に真空ポンプによつて除去される反応廃棄生成物
に対して反応気体を調節しながら加えるための装
置が設けられている。そのようなチエンバーは組
立てられ後には、先ず最初に適当な操作のために
機械的に調節しなければならない。特に、ウエー
ハの表面を横切る均一な食刻をつくり出すために
板の平行性を調節しなければならず、プラズマ反
応の効率的な操作を得るために、間隔を調節しな
ければならない。これらの板の調節は一般的に困
難であり、厄介なものである。一般的に、板の間
隔調節にはチエンバーの排気操作と、チエンバー
内にプラズマを確立すること、間隔が不適正であ
ることを郭定することと、プラズマを消滅させる
ことと、チエンバーの真空を破壊することと、さ
らに板の間隔を変更することとを含むが、このこ
とは、もちろん、板の平行性の調節対して逆の影
響を与える危険性がある。各々の調節を行なつた
後で、この手順の最初の部分を繰返して、調節が
正確に行なわれているかどうかを郭定しなければ
ならない。もし調節が満足に行なわれていなかつ
たら、前記手順の残りの部分を繰返して板の間隔
をさらに変更しなければならない。板の平行性を
調節するための手順は、板の間隔を調節するため
の手順と類似している。
前述した方法で構成されたプラズマ処理チエン
バーは、調節することが困難であることに加え
て、他の望ましくない特性を示す。そのようなチ
エンバーにおいては、プラズマが形成されている
領域は、平行板の間における領域を含むだけでな
く、反対側、即ち、板の裏側をも含む。そのよう
な広がりを有するプラズマは“裏側スパツタリン
グ”として知られる雰囲気を示すことがあり、そ
の場合は、平行板の裏側から物質が除去されてし
まう。このようにして板の裏側から除去された物
質はプラズマ反応に対して有害な影響を与え、ま
た(あるいは)材料片の表面に沈積されることが
ある。さらに、平行板の間の領域を越えて広がる
プラズマが存在すると、たとえそれが有害な裏側
スパツタリングという結果にならなくとも、処理
チエンバーに供給される無線周波エネルギが浪費
され、従つて食刻プロセスの効率を減少させるこ
とになる。
本発明の目的は、電極の分離間隔をチエンバー
の外部から調節することのできる、シール可能な
平行板プラズマ処理チエンバーを提供することに
ある。
他の目的は、容易にプラズマ処理を変更するこ
とのできるプラズマ処理チエンバーを提供するこ
とにある。
他の目的は、電極の分離間隔を変化させながら
も、電極の平行性が維持される調節可能な電極を
有する平行板プラズマ処理チエンバーを提供する
ことにある。
他の目的は、裏側スパツタリングを示すことの
ない、調節可能な電極を有する平行板プラズマ処
理チエンバーを提供することにある。
他の目的は、供給されるエネルギの大部分が処
理プラズマの生成のため加えられる、平行板プラ
ズマ処理チエンバーを提供することにある。
他の目的は、材料片と接触している電極の温度
調節装置を有した、調節可能な電極を有した平行
板プラズマ処理チエンバーを提供することにあ
る。
他の目的は、処理プラズマの層流を可能にす
る、調節可能な電極を有する平行板プラズマ処理
チエンバーを提供することにある。
本発明によれば、反応チエンバー本体と、該反
応チエンバー本体に適合された電極ハウジング
と、該電極ハウジングに装架された第1の電極
と、前記反応チエンバー本体に装架された第2の
電極とを有し、前記第1及び第2の電極がそれら
の間にプラズマ反応領域を画定する対向する平行
な表面を備えているプラズマ処理チエンバーにお
いて、前記電極ハウジング及び前記反応チエンバ
ー本体は前記第1及び第2の電極の前記平行な表
面に対して垂直な方向に一方が他方に対して摺動
可能に移動するようになつていて前記電極ハウジ
ングと前記反応チエンバー本体の間の相対的移動
によつて前記第1及び第2の電極間の間隔が調整
されるようにされており、また、前記電極ハウジ
ングと前記反応チエンバー本体の間の相対的移動
を調節して前記第1及び第2の電極の間に所望の
プラズマ反応領域が画定されるようにする電極位
置決め装置を備え、この電極位置決め装置は前記
プラズマ処理チエンバーの外部から操作可能にな
つていることを特徴とするプラズマ処理チエンバ
ーが提供される。
簡単にいうと、好ましい実施例においては、本
発明の単一ウエーハのための、平行板プラズマ処
理チエンバーは正方形状の反応チエンバー本体と
円筒形状の電極ハウジングとからなるシール可能
な組立体を含む。この円筒状ハウジングの一端部
は、ハウジングの円筒軸線に対して直角に形成さ
れた、シールされた、平坦な、円状表面によつて
閉鎖されている。この表面はそれ自身、電気的に
絶縁されたセラミツクリングによつて取囲まれて
いる中心部の、デイスク状の、導電性領域からな
つている。この導電性中心領域は、チエンバーの
壁部としても、また平行板電極の1つとしても作
用し、これには複数個の小さな孔が貫通してい
る。前記電極ハウジングの平坦な端部表面の裏側
におけるこのデイスク状の中心領域には、前記中
心デイスク状領域の裏側に形成された凹所によつ
てプレナムが形成されている。この凹所はそれに
対して固定されたデイスク状のプレナムカバー板
によつて周囲雰囲気からシールされている。この
カバー板を貫通して形成された処理気体入口は、
このプレナム内にプラズマ処理気体を注入し、従
つてプラズマ処理チエンバー内へ注入することが
できる。このプレナムと、多孔性の電極表面との
組合わせによつて、電極ハウジングの閉鎖された
平坦な端部はプラズマ処理チエンバーの中へ層流
状の処理気体を供給することができる。
電極ハウジングの多孔性端部は、正方形状の反
応チエンバー本体の頂壁に形成された、円筒形状
の電極ハウジング孔によつて受留められる。この
孔は電極ハウジングの直径よりもわずかに大きな
直径を有するように形成されており、従つて受留
められた前記ハウジングはチエンバー本体に関し
て、円筒軸線に対して縦方向に平行に容易に移動
することができる。この孔の表面は反応チエンバ
ー本体の上面の直近において形成されたL字形溝
を有し、受留められた電極ハウジングの周りで外
側リング状溝を形成している。この溝の中には外
部リング状シールが固定されており、受留められ
た電極ハウジングの表面と電極ハウジング孔とを
接触させ、それらの間をシールしている。電極ハ
ウジングの表面にはさらに内側のリング状溝が形
成され、前記外側溝と平行に、かつそれと隣接し
て整列されている。この内側溝はU字形断面を有
するように形成され、受留められた電極ハウジン
グに平行な前記溝の巾は、そこに固定された内部
リング状シールの高さよりも大きい。前記外部シ
ールと同様に、この内部シールも受留められた電
極ハウジングの表面と電極ハウジング孔とを接触
させ、それらの間をシールしている。この大きな
寸法の内側溝の巾の中において、前記内部シール
は外部シールから最も離れたところに位置してお
り、従つて外部シールと内部シールとの間には開
放的なリング状チエンバーが確立される。このリ
ング状チエンバーは、受留められた電極ハウジン
グの表面を取囲んでいて、内側溝から反応チエン
バー本体の壁部を貫通して形成された孔を通して
加えられる真空によつて排気することができる。
反応チエンバー本体の壁部の内面から電極ハウ
ジング孔に対して、直ぐ反対方向に、平坦な円状
の端部面を有した円筒状のペデスタルが突出して
いる。この端面は受留められた電極ハウジングの
平坦な端部に対して平行に形成されており、電極
ハウジングの多孔性電極領域の直径と同じ直径を
有し、その中で整列されている。このように、こ
の表面は処理チエンバーの第2平行板電極を構成
し、その直ぐ近くに多孔性の電極を位置させて、
これらの表面間の空間にプラズマ反応領域を確立
している。特に半導体ウエーハのような円板状の
材料片を処理するようになつた本発明の好ましい
実施例においては、前記ペデスタルの表面はその
表面内に形成された網目状になつたU字形の溝を
有し、前記溝はペデスタルの表面に材料片を剛的
に固定するために真空状態にされる。前記材料片
の凹所の直ぐ近くにおける、反応チエンバー本体
の壁部内には馬蹄形状のチエンバーが形成され、
そのチエンバーを通つて温度制御された液体が流
れる。そのような流れが確立されると、電極の温
度の制御が可能であり、従つてそれと接触してい
る材料片の温度の制御も可能になる。
材料片は、正方形状本体の側壁をそれぞれ貫通
して形成された4つの長方形の材料片移送孔の内
のいずれか1つを通つて、処理チエンバー上に受
留められたり、あるいはそこから取出されたりす
る。本発明の好ましい実施例においては、材料片
を移送させるために実際に使用される孔は、本体
の適当な側面に固定されたロードロツクによつて
閉鎖されるようになつている。これらのロードロ
ツクは“モジユラーロードロツク”と題する、本
出願と同一の譲渡人に譲渡された同時特許出願に
記載されたタイプのものであつてもよい。この他
に、そのような目的のために使用しない材料片移
送孔は、本体の適当な側面に対して同様に固定さ
れた平坦な板によつて閉鎖されるようになつてい
る。このようになつているので、本発明のプラズ
マ処理チエンバーは、2つの隣接した電極表面に
よつて確立されたプラズマ反応領域の中へ材料片
を収納した状態で、閉鎖されかつシールされる。
ペデスタルの端部における電極表面と、ペデス
タルが突出している反応チエンバー本体の壁部と
の中間において、平坦な、環状の流量均等化板が
ペデスタルを取囲んでいる。この板の平坦表面は
ペデスタルの電極表面に対して平行に整列され、
また、その円状側面はペデスタルの側面と、反応
チエンバー本体の側壁の内面とをそれぞれシーー
ルしている。ペデスタルが突出している前記本体
には、プラズマ反応領域から処理気体を抜くため
の、2つの直径方向に対向した反応チエンバー排
気孔が貫通して形成されている。プラズマ反応領
域から前記排気孔に処理気体を流すことができる
ように、前記流量均等化板にはペデスタルの電極
表面と同軸的な円の外周の周りに、一定間隔をお
いた複数個の孔があけられている。このようにし
て、この流量均等化板は、プラズマ反応領域から
排気孔への処理気体の流れを、ペデスタルの電極
表面の外周において、確実に均等に配分する。
本発明の処理チエンバーはさらに、電極ハウジ
ングの円筒軸線に対して平行に整列された4つの
ジヤツキねじを含む。これらのジヤツキねじは電
極ハウジング孔を取囲んでいる反応チエンバー
と、ハウジング表面の端部から反応チエンバー本
体とは離れる方向へ、半径方向外側へ突出した正
方形状フランジとの間に挿入されている。ジヤツ
キねじは電極ハウジング孔の周囲で正方形状に配
置され、反応チエンバー本体の角部と電極ハウジ
ングのフランジとの間に位置されている。ジヤツ
キねじのねじは、反応チエンバーの本体内に入り
込んだナツトのねじと係合している。反応チエン
バー本体とは離れた方におけるジヤツキねじの端
部は、電極ハウジングのフランジに形成された孔
の中をそれぞれ貫通している。ジヤツキねじとフ
ランジとの間の摩擦係合を減少させるために、ジ
ヤツキねじの周りにはベアリングが固定されてい
て、ハウジングフランジの孔と合致している。従
つて、これらのジヤツキねじは同期的に回転し、
ハウジングを重力と大気圧との組合わせた力に抗
して、反応チエンバー本体から引離す方向に移動
させたり、あるいは、それをこれらの組合せ力に
応じて内側へ移動させることができる。電極ハウ
ジングがそのように移動すると、ハウジングの電
極表面と反応チエンバー本体との間の長さを、そ
れらの間の平行性を維持しながら、変化させるこ
とになる。同期的な回転を容易にするために、
各々のジヤツキねじには、反応チエンバー本体に
おけるナツトと、電極ハウジングのフランジにお
けるベアリングとの中間において、スプロケツト
がそれぞれ固定されている。これらの4つのスプ
ロケツトの周囲には連続的な、閉じた鎖が通過し
ていて、それらの歯と噛合し、それによつてジヤ
ツキねじを強制的に一緒に回転させる。電極ハウ
ジングのフランジより上へ突出したジヤツキねじ
の各々の端部には、両方向へ回転する電動モータ
がそれぞれ固定されている。これらの4つのモー
タ全てを同時に励磁することによつて、4つのジ
ヤツキねじは同期的に回転される。反応チエンバ
ー本体の1つの角部の下には、回転ポテンシオメ
ータが取付けられ、かつ1つのジヤツキねじに連
結されており、これが電極間隔を電気的を検知す
る。
前述してきたような方法で構成された平行板プ
ラズマ処理チエンバーは、その全ての材料片移送
孔の周りでロードロツクあるいはシール板を固定
することによつて操作準備ができる。少なくとも
1つのこれらの孔は、プラズマ処理領域へ材料片
を出し入れすることができるように、ロードロツ
クでシールしなければならない。電極ハウジング
のカバー板に貫通して形成された気体入口孔に対
して、処理気体が絶縁管によつて供給される。ま
たカバー板と本体との間には、インピーダンス整
合回路を組込んだ無線周波電源が供給される。電
極ハウジングの構造のゆえに、無線周波電源のイ
ンピーダンス整合回路はカバー板、即ち、絶縁さ
れた電極の裏側に直ぐ隣接して位置していてもよ
い。このように整合回路とプラズマ反応領域との
間が物理的に密接していることによつて、処理チ
エンバーの電気的特性を密接に整合する整合回路
を構成することができる。そのように電気的に密
接整合させることができるので、無線周波エネル
ギを処理プラズマ生成に関して効果的に投入する
ことができる。最後に、外部シールと内部シール
との間のリング状チエンバー、および反応チエン
バー本体の反応チエンバー排気孔の両者が真空状
態にされる。好ましくは、リング状チエンバーお
よび反応チエンバー排気孔に対してはそれぞれ別
別の真空装置が連結され、従つて、リング状チエ
ンバーから排気された大気圧気体は、使用済の処
理気体と混合されることがない。
処理チエンバーをこのようにして準備すると、
処理チエンバー内のプラズマ処理領域の中へ材料
片が装荷され、その中でシールされる。次に処理
気体が気体入口孔からプラズマ反応領域を介して
流され、次に排気孔を通つてプラズマ処理チエン
バーから外へ流される。同時に、プラズマ反応領
域には無線周波電流が供給され、反応チエンバー
本体と、電極ハウジングの導電性中心領域との間
に無線周波電位が加えられる。次に電極間のプラ
ズマ反応領域において望みのプラズマ処理状態を
確立するために、気体流量、無線周波エネルギの
量、および電極間隔が調節される。電極ハウジン
グの導電性中心領域の構造、およびその中へ処理
気体を導入するために用いられる電気的に絶縁さ
れた管とのおかげで、この電極の裏側にはプラズ
マは生成されない。従つて、この電極に加えられ
る無線周波エネルギは、平行板間のプラズマ反応
領域においてのみ消費される。処理が完了する
と、無線周波エネルギ,供給は停止され、ロード
ロツクを介して材料片がチエンバーから取出され
る。
本発明の平行板プラズマ処理チエンバーの利点
は、それが電極間の分離間隔をチエンバーの外部
から調節することのできる電極を組込んでいる点
にある。
平行板プラズマ処理チエンバーの他の利点は、
それが容易にプラズマ処理を変更させることがで
きるようになつている点にある。
プラズマ処理チエンバーの他の利点は、電極の
分離間隔を変化させながら電極の平行性が維持さ
れる点にある。
他の利点は、平行板プラズマ処理チエンバーの
電極が裏側スパツタリングを示さない点にある。
平行板プラズマ処理チエンバーの他の利点は、
供給されたエネルギの大部分が処理プラズマの中
へ連結される点にある。
この平行板プラズマ処理チエンバーの他の利点
は、材料片電極の温度が制御できるという点にあ
る。
この平行板プラズマ処理チエンバーの他の利点
は、プラズマが層流状の半径方向外側への流れを
有するように調節できる点にある。
本発明のこれらおよびその他の目的および利点
は、当業界にとつては、各種添付図面を参照しな
がら、好ましい実施例の詳細な説明を以下読むこ
とによつて疑いなく明らかになるであろう。
第1図から第3図は本発明によるシール可能
な、単一ウエーハの平行板プラズマ処理チエンバ
ーを示しており、これは全体的に番号10で示し
ている。前記プラズマ処理チエンバー10は正円
状の円筒形状電極ハウジング14を受留めるため
の反応チエンバー本体12を有する。電極ハウジ
ング14の受留められた端部はデイスク状の導電
性電極22を取囲んだ、環状の、セラミツク製絶
縁リング20によつてシールされる。前記リング
20と電極22との平坦面は電極ハウジング14
の円筒状軸線に対して直角に整列されている。電
極22の平坦面には、気体を通過させることので
きるように形成された複数個の、小さな、規則的
に配列された孔24が貫通している。本発明の好
ましい実施例においては、前記孔24は円状にな
つており、直径が約0.03125インチ(0.7938mm)
であり、規則的な六角格子の頂点に位置し、前記
格子上の最も近い隣接孔間の間隔は約0.250イン
チ(6.35mm)である。前記孔24が貫通している
平坦面に対向したデイスク状電極22の側面には
円状の凹所26が形成されている。前記凹所26
はデイスク状の導電性プレナムカバー板28によ
つて閉鎖されていて、それによつてそれらの間に
プレナム30が確立される。前記プレナムカバー
板28には、プラズマ処理気体をプレナム30へ
通すためのプラズマ処理気体の入口32が貫通し
ている。前記導電性電極22とプレナムカバー板
28とは複数個の締具34によつて互いに他と剛
的に固定され、プレナム30をシールするために
Oリング36と接触している。前記プレナムカバ
ー板28はその外周部において導電性電極22と
接触するように正確に形成されており、従つてカ
バー板28から電極22へ至る抵抗の小さい、導
電路を提供する。このように、カバー板28に加
えられた電位は全て電極22にも同時にかかるこ
とになる。電極22はクランプリング38によつ
て絶縁リング20の下面に固定され、前記クラン
プリングは前記絶縁リングの上面および複数個の
締具40と接触している。電極22は絶縁リング
20に対して、それぞれの上面と下面との間に位
置したOリング42によつてシールされている。
前記絶縁リング20は電極ハウジング14に対し
てそれらの間にあるOリング44によつてシール
され、かつ複数個の締具48によつて絶縁リング
の上部エツヂ面に沿つて接触した、環状のクラン
プシート46によつて機械的に固定されている。
前記反応チエンバー本体12は正方形状の本体
60を有し、その下面は基板62とそれらの間に
位置したOリング63とによつて閉鎖され、シー
ルされている。本体60の上面は円筒状になつた
電極ハウジング孔64を有し、電極ハウジング1
4をゆるやかに受留めるようになつていて、従つ
て前記ハウジング本体60に関して容易にその円
筒軸線に対して平行に移動させることができる。
第4図を参照すると、本体60と電極ハウジン
グ14との間には内部のリング状シール66が固
定され、第1クランプ板70によつてL字形溝6
8の中で締付けられている。前記クランプ板70
の円状内面にはU字形溝72が形成されており、
その巾“W”は前記シール66の高さ“H”より
大きく、従つて電極ハウジング14の周りには、
占有物のない空間において、リング状のチエンバ
ー74が確立される。前記チエンバー74は、第
1クランプ板70の壁部を貫通して形成された真
空配管76によつて真空状態にされる。前記内部
リング状シール66と同様な外部リング状シール
78が、第1クランプ板70の上面に形成された
L字形溝82内の第2クランプ板80によつて締
付けられている。反応チエンバー本体12と電極
ハウジング14との間をシールしている前記同様
な内部シール66と外部シール78とは、カリフ
オルニア州のパサデナのバルーシール社製のもの
であつてもよい。
第2図を参照すると、前記基板62には、本体
60の中で上方へ突出したリング状のペデスタル
90が形成されている。前記ペデスタル90の上
面にはスペーサリング94が接触し、それらはO
リング92によつてシールされている。前記スペ
ーサリング94は、第3図に示したような締具9
6によつて加えられる締め力によつてペデスタル
90に対してシールするように押付けられる。前
記締具96は下部クランプリング98を第2の平
坦なデイスク状の電極100に対して結合してい
る。デイスク状性電極100とスペーサリング9
4との間にはOリング102によつてシールが確
立されている。前記導電性電極22と第2のデイ
スク状電極100とは同一直径を有し、組立てら
れたプラズマ処理チエンバー10内において、そ
の隣接面が平行でかつそれらの中心が共軸となる
ように整列されている。従つて、第3図に示した
ように、電極22と100とがこのように成形さ
れ、配置されると、それらの隣接した平坦面は長
さ“S”だけ離され、従つてそれらの間にはプラ
ズマ反応領域104が確立される。
再び第2図を参照すると、第2電極100は複
合構造になつていて、これはデイスク状真空チヤ
ツク114を取囲んだリング状の板112を含
む。前記真空チヤツク114は温度制御リング1
16によつて前記リング状の板112に締付けら
れる。前記温度制御リング116はチヤツク11
4の下でリング状の板112の中へ上方に向かつ
て突出し、締具117によつて板112に固定さ
れている。真空チエツク114はOリング118
によつてリング状の板112に対してシールさ
れ、温度制御リング116は、第3図に示したよ
うな1対のOリング120,121によつて真空
チヤツク114に対してシールされている。温度
制御リング116の表面には、真空チヤツク11
4に直ぐ隣接したところで、円状の馬蹄形溝12
2が形成されている。温度制御リング116の壁
部には、前記馬蹄形溝122の各端部において、
それぞれ温度制御流体孔124が貫通して形成さ
れている。再び第2図を参照すると、前記チヤツ
ク114の露出面にはU字形溝126が形成され
ている。デイスク状の半導体ウエーハのような材
料片の本質的に平坦な表面を前記溝126の上に
置くと、前記溝は処理チエンバー10の残りの部
分から隔離されてしまう。従つて、前記溝126
には処理チエンバー10の外部からチヤツク11
4を貫通して形成された孔128を介して真空状
態にされ、そのような材料片を溝に固定させるこ
とになる。
処理チエンバー10から材料片を出し入れする
ことができるように、正方形状本体60の各平坦
側面には、長方形の材料片移送孔130が貫通し
て形成されている。本体60の前記側面には、各
材料片移送孔130の周囲にU字形の溝132が
形成され、その中に保持されたOリング134が
平坦な板あるいはロードロツクのいずれかと共に
前記孔130をシールする。前記ロードロツクは
“モジユラーロードロツク”と題する同時特許出
願の中で記述されており、これは本出願と同一の
譲渡人に譲渡されている。前記出願における事柄
は、本発明のプラズマ処理チエンバー10の使用
法を完全に説明するために、ここでは参考人とし
て組込んでいる。前記電極100は3本アームの
三角形状エレベータ140によつて送り込まれた
半導体ウエーハのような円板状の材料片を受留め
るようになつており、前記エレベータは真空チヤ
ツク114表面の中心部に位置し、かつその表面
の中で引込んで位置している。前記エレベータ1
40は真空チヤツク114の中心部における孔
(図示せず)を通つて下方へ突出したシヤフト
(これも図示せず)を含む。エレベータ140の
シヤフトは、真空チヤツク114の下でペデスタ
ル90の中空中心部分の中に位置した空気圧シリ
ンダー142に連結されている。前記空気圧シリ
ンダー142とエレベータ140のシヤフトとは
真空チヤツク114に対してシールされており、
プラズマ処理チエンバー10の中へ大気気体が侵
入してくるのを防いでいる。空気圧シリンダー1
42は加圧気体の入口孔144,146を有して
おり、これらによつて前記シリンダーは付勢され
る。従つて、加圧気体の流れを入口孔144ある
いは146へ供給することによつて、エレベータ
140は電極100の表面の上へ持上げられた
り、あるいは真空チヤツク114内の凹所の中へ
引込められる。
本体60の内面とペデスタル90の外面との間
には、平坦で環状の流量均等化板150が固定さ
れ、それには一定の間隔をおいた孔152が円形
リング状に並んで形成されている。この板の平坦
面は電極100の平坦面に対して平行に整列され
ており、またその円形の側面はそれぞれペデスタ
ル90と本体60とに対してシールしている。従
つて、本体60と基板62との間にチエンバー1
54が確立され、このチエンバーは前記流量均等
化板150によつてプラズマ反応領域104から
分離されている。基板62には、処理気体をチエ
ンバー154から、従つてプラズマ反応領域10
4から抜くための、直径方向に対向した逃がし孔
156が貫通して形成されている。前記流量均等
化板150に形成された孔152は電極100と
同軸的な円の外周において一定の間隔をおいて分
離されており、プラズマ反応領域104からの処
理気体の流れは、その外周において均等に分配さ
れる。
プラズマ処理チエンバー10は電極22と10
0との間の間隔“S”を、ジヤツキねじ160に
よつて調節することができるようになつている。
ジヤツキねじ160は、正方形状本体60の角部
と、電極ハウジング14の上端部から外側へ突出
している正方形状のフランジ162の角部との間
にそれぞれ挿入されている。ジヤツキねじ160
のねじは本体60の中に入り込んだナツト164
と係合する。ジヤツキねじ160の本体60から
最も離れた方の端部は、フランジ162に形成さ
れた孔166の中を通過する。ジヤツキねじ16
0とフランジ162との間の摩擦係合は、それら
の間に挿入されたベアリング168によつて減少
される。各々のジヤツキねじ160には、ベアリ
ング168とナツト164との中間において、歯
の付いたスプロケツト170が固定されている。
前記歯付スプロケツト170はジヤツキねじ16
0に対して共平面的になるように固定され、また
連続的な、リンク鎖172と係合してその周囲を
通過させている。従つて、前記鎖172を直線状
に動かすと、ジヤツキねじ160が同時に回転さ
せられる。電極22と100との間の平行性は、
プラズマ処理チエンバー10がなくとも、ジヤツ
キねじ160間の角度関係を調節することによつ
て調節することができる。一旦、平行性が確立さ
れると、その平行性は、ジヤツキねじ160をス
プロケツト170と鎖172との同期的な回転を
させることによつて、間隔“S”を調節しながら
維持される。ジヤツキねじ160を同期回転させ
ることによつて、電極ハウジング14は重力と大
気圧力との組合わせ力に抗して反応チエンバー本
体112から離されるように移動されるか、ある
いはこれらの組合わせ力に応答して内側へ移動さ
れる。
第1図を参照すると、フランジ162の各角部
にそれぞれ固定された電動モータ180によつ
て、各ジヤツキねじ160に回転運転が惹起され
る。このジヤツキねじ160、スプロケツト17
0、鎖172及び電動モータ180は電極位置決
め装置を構成する。従つて、各ジヤツキねじ16
0はそれに連結されたモータ180によつて駆動
され、スプロケツト170と鎖172とが4個全
てのジヤツキねじ160の同期的な回転を確かな
ものにする。ジヤツキねじ160の角度位置と、
従つてプラズマ反応領域104での電極22と1
00との間の分離間隔“S”とは、基板62の下
に固定された回転ポテンシオメータ182によつ
て検知される。前記ポテンシオメータ182は好
ましくは反応チエンバー本体12の下に位置さ
れ、電極22,100に対して無線周波交流を加
えた時の電気的なノイズを減少させるようにす
る。前記回転ポテンシオメータ182はこの位置
に固定するが、それが回転する時にポテンシオメ
ータ182とジヤツキねじ160との間に相対的
な直線運動が生じるので、前記ポテンシオメータ
は伸張可能な回転性カツプリング(図示せず)に
よつてジヤツキねじ160に連結しなければなら
ない。
処理チエンバー10を組立てた後に、電極22
と100との平行性を確立しなければならない。
さらに、ポテンシオメータ182の電気抵抗と、
電極22,100間の分離間隔“S”との間に相
互関係を確立しなければならない。これら2つの
調節は、先ず最初に電極22と100とを平行に
なるように完全に接触させることによつて行なわ
れる。次に、電極22と100とを分離させても
それらの面が確実に平行に維持されるように、ジ
ヤツキねじ160の間の角度関係を調節する。従
つて、電極22と100との平行性を維持する際
の精度は、単にジヤツキねじ160の機械的な精
度によつてのみ制限される。ジヤツキねじ160
を調節した後に、ポテンシオメータ182の抵抗
がある特定の値に設定される。電極22と100
とが一旦分離されてからの分離間隔“S”の連続
的な測定は、ポテンシオメータ182の電気抵抗
を測定することによつて行なわれる。従つて、分
離間隔“S”を測定する際の精度は、プラズマ処
理チエンバー10の機械的要素、例えばジヤツキ
ねじ160の組合わせ精度と、ポテンシオメータ
182の電気的な精度とによつて限定される。
プラズマ処理チエンバー10は、半導体ウエー
ハのような円板状の材料片を真空チヤツク114
上に置くことによつて操作準備ができる。そのよ
うな材料片はチヤツク114を真空状態にするこ
とによつて電極100に固定される。次に材料片
移送孔130を閉鎖シールし、排気孔156を介
してチエンバー10を真空状態にし、また真空入
口76を介してチエンバー74を真空状態にす
る。真空状態にすると同時に、処理気体入口32
に連結された、ある長さの電気絶縁的なテフロン
管190を介して、プレナム30の中へプラズマ
処理気体の流れを流入させる。この気体はプレナ
ム30から、電極22に形成された複数個の孔2
4を介して、プラズマ反応領域104の中へ流れ
る。この目的のために多数の、小さな、規則的に
隔置配列された孔24が用いられ、電極100の
表面を横切る処理気体の流れを均一な半径方向外
側への流れにし、この流れは適当な流れ条件の下
では層流状態となる。処理チエンバー10はこの
ようにしてシールされ、材料片を収納し、処理気
体をプラズマ反応領域104に通過させるが、次
に前記チエンバーに対して電極22と反応チエン
バー本体12との間に無線周波交流電位が印加さ
れる。処理チエンバー10の全ての構造物は、絶
縁リング20を除いて、導電性があるので、この
無線周波交流電位を印加すると、電極22と10
0との表面間に電流が流れることになる。この電
流はプラズマ反応領域104内の気体内にプラズ
マを発生させる。電極22とプレナムカバー板2
8との間には電位差がないので、前記電極の裏側
にはそれに対応するプラズマは生じない。またプ
レナムカバー板28が大気圧にさらされていて、
また管190の中にはその長さの故、およびそれ
が電気的に絶縁されているが故に何物も入つてい
ないので、前記プレナムが一板の外面にもプラズ
マは生じない。従つて、プラズマ処理チエンバー
10がパツクスパツタリングを示すことはあり得
ない。
プラズマ反応領域104内にプラズマが確立さ
れると、電極22とそれに隣接した電極100と
の間の間隔“S”は、モータ180に電流を加え
ることによつて変化させてもよい。モータ180
へ加える電流を適当に制御することにより、領域
104内でのプラズマ処理条件を、操作中のチエ
ンバー10からではなくて、調節することができ
る。真空チヤツク114の温度、従つてそれと密
着している材料片の温度は、馬蹄形状の溝122
内を温度制御された液体を流すことによつて調節
される。
前記プラズマ処理チエンバー10は、広範な各
種材料を対応的な各種処理気体で食刻するために
も用いることができる。食刻しようとする材料の
タイプおよび望みの結果的な食刻特性とに応じ
て、各種処理パラメータ、例えば、処理気体の組
成、圧力、流量、電極22,100間の分離間隔
“S”、およびプラズマ内の無線周波出力密度が実
験的に郭定される。食刻しようとする材料の正確
な化学的特性や、処理気体の正確な化学的特性が
これらのパラメータに関して郭定された値に影響
を与えるので、あるプロセスによつて食刻するた
めに準備された材料に関する値が、同じ材料を別
のプロセスによつて食刻するための値と異なるこ
とがある。従つて、実際に使用する材料片と処理
気体とを用いて実験をしなければ、プラズマ処理
チエンバー10の最良の操作方法を正確に確立す
ることは不可能である。しかしながら、多結晶性
SiO2を食刻するための、処理チエンバー10を
用いる典型的な操作条件の例は以下の通りとな
る。処理気体は90%の四弗化炭素、CF4、と10%
の酸素、O2、との混合気体であり、圧力1トル
(0.0013Kg/cm2)、流量毎分100cm3(標準状態)で
処理チエンバー10へ送られ、直径100mmのウエ
ーハの表面を食刻する。この処理混合気体におい
ては、電極22と100との表面間の電極表面積
1cm2当たりで約1ワツトの無線周波出力密度を供
給し、電極間の長さ“S”を0.5cmにすることに
よつてプラズマが形成される。
本発明に関して、好ましい実施例について記述
してきたが、そのような開示が限定するためのも
のではないことは理解されるはずである。上述の
開示を読めば、当業界にとつて各種の変更と修正
が可能であるが明らかになるであろう。従つて、
添付した特許請求の範囲が本発明の真の精神と範
囲との中に入る全ての変更、修正を含むものであ
る。
【図面の簡単な説明】
第1図は本発明によるプラズマ処理チエンバー
の透視図、第2図は第1図のプラズマ処理チエン
バーの部分断面的な透視図、第3図は電極ハウジ
ングを反応チエンバー本体に合致させたところを
を示す、第1図の線3―3に沿つてみたプラズマ
処理チエンバーの断面図、第4図は第3図の線4
―4に沿つてみた、反応チエンバー本体と電極ハ
ウジングとの間のシールの断面図である。 図において、12……反応チエンバー本体、1
4……電極ハウジング、22……第1電極、30
……プレナム、32……処理気体入口孔、100
……第2電極、104……プラズマ反応領域、1
14……真空チヤツク、130……材料片移送
孔、160……ジヤツキねじ、170……スプロ
ケツト、172……鎖、182……ポテンシオメ
ータ、である。

Claims (1)

  1. 【特許請求の範囲】 1 反応チエンバー本体と、該反応チエンバー本
    体に適合された電極ハウジングと、該電極ハウジ
    ングに装架された第1の電極と、前記反応チエン
    バー本体に装架された第2の電極とを有し、前記
    第1及び第2の電極がそれらの間にプラズマ反応
    領域を画定する対向する平行な表面を備えている
    プラズマ処理チエンバーにおいて、前記電極ハウ
    ジング14及び前記反応チエンバー本体12は前
    記第1及び第2の電極22,100の前記平行な
    表面に対して垂直な方向に一方が他方に対して摺
    動可能に移動するようになつていて前記電極ハウ
    ジング14と前記反応チエンバー本体12の間の
    相対的移動によつて前記第1及び第2の電極2
    2,100間の間隔が調整されるようにされてお
    り、また、前記電極ハウジング14と前記反応チ
    エンバー本体12の間の相対的移動を調節して前
    記第1及び第2の電極22,100の間に所望の
    プラズマ反応領域104が画定されるようにする
    電極位置決め装置160,170,172,18
    0を備え、この電極位置決め装置160,17
    0,172,180は前記プラズマ処理チエンバ
    ー10の外部から操作可能になつていることを特
    徴とするプラズマ処理チエンバー。 2 前記電極位置決め装置160,170,17
    2,180は前記反応チエンバー本体12と前記
    電極ハウジング14とを相互連結していて前記間
    隔が調整される際前記第1及び第2の電極22,
    100の平行な表面を平行に維持するようになつ
    ている特許請求の範囲第1項記載のプラズマ処理
    チエンバー。 3 前記反応チエンバー本体12は前記電極ハウ
    ジング14を摺動可能に受け入れる孔64を有し
    ている特許請求の範囲第1項記載のプラズマ処理
    チエンバー。 4 前記反応チエンバー本体12と前記電極ハウ
    ジング14の間に位置する少なくとも1つのシー
    ル66,78を備え、このシール66,78は前
    記電極ハウジング14のまわりにゆるく適合して
    いるが、適用された真空に応答して緊密に適合す
    るようになつている特許請求の範囲第3項記載の
    プラズマ処理チエンバー。 5 前記電極位置決め装置160,170,17
    2,180は、前記電極ハウジング14のまわり
    に位置していて該電極ハウジング14と前記反応
    チエンバー本体12の間における摺動可能な移動
    方向に細長く延びている複数個のジヤツキねじ1
    60と、それぞれが該ジヤツキねじ160に固定
    されている複数個のスプロケツト170と、これ
    らスプロケツト170のまわりを通つて前記複数
    個のジヤツキねじ160を一緒に回転させる無端
    鎖172と、この鎖172を長手方向に移動させ
    る装置180とを含む特許請求の範囲第1項記載
    のプラズマ処理チエンバー。 6 前記複数個のジヤツキねじ160に同期して
    駆動され前記第1及び第2の電極22,100の
    平行な表面間の間隔を検知するポテンシオメータ
    182を備えている特許請求の範囲第5項記載の
    プラズマ処理チエンバー。
JP57021038A 1981-02-13 1982-02-12 Plasma treating chamber Granted JPS57156034A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/234,346 US4340462A (en) 1981-02-13 1981-02-13 Adjustable electrode plasma processing chamber

Publications (2)

Publication Number Publication Date
JPS57156034A JPS57156034A (en) 1982-09-27
JPS6244971B2 true JPS6244971B2 (ja) 1987-09-24

Family

ID=22880985

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57021038A Granted JPS57156034A (en) 1981-02-13 1982-02-12 Plasma treating chamber

Country Status (4)

Country Link
US (1) US4340462A (ja)
JP (1) JPS57156034A (ja)
DE (1) DE3204311A1 (ja)
GB (1) GB2093266B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0161257U (ja) * 1987-10-09 1989-04-19
JPH0389763U (ja) * 1989-12-25 1991-09-12

Families Citing this family (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5816078A (ja) * 1981-07-17 1983-01-29 Toshiba Corp プラズマエツチング装置
JPS58157975A (ja) * 1982-03-10 1983-09-20 Tokyo Ohka Kogyo Co Ltd プラズマエツチング方法
US4478701A (en) * 1983-12-30 1984-10-23 Rca Corporation Target support adjusting fixture
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4657620A (en) * 1984-10-22 1987-04-14 Texas Instruments Incorporated Automated single slice powered load lock plasma reactor
US4659413A (en) * 1984-10-24 1987-04-21 Texas Instruments Incorporated Automated single slice cassette load lock plasma reactor
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4673456A (en) * 1985-09-17 1987-06-16 Machine Technology, Inc. Microwave apparatus for generating plasma afterglows
US4685999A (en) * 1985-10-24 1987-08-11 Texas Instruments Incorporated Apparatus for plasma assisted etching
JPH0812856B2 (ja) * 1986-01-17 1996-02-07 株式会社日立製作所 プラズマ処理方法および装置
JPH0333058Y2 (ja) * 1987-06-26 1991-07-12
US4830702A (en) * 1987-07-02 1989-05-16 General Electric Company Hollow cathode plasma assisted apparatus and method of diamond synthesis
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
KR970003885B1 (ko) * 1987-12-25 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 에칭 방법 및 그 장치
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
US5271799A (en) * 1989-07-20 1993-12-21 Micron Technology, Inc. Anisotropic etch method
JP2506451B2 (ja) * 1989-08-18 1996-06-12 富士通株式会社 化学気相成長装置及び化学気相成長法
DE4025396A1 (de) * 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5094712A (en) * 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
DE4143135A1 (de) * 1991-11-14 1993-07-29 Leybold Ag Kathode zum beschichten eines substrats
US5482610A (en) * 1991-11-14 1996-01-09 Leybold Aktiengesellschaft Cathode for coating a substrate
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5328555A (en) * 1992-11-24 1994-07-12 Applied Materials, Inc. Reducing particulate contamination during semiconductor device processing
DE4321639B4 (de) * 1993-06-30 2005-06-02 Unaxis Deutschland Holding Gmbh Plasmaunterstützte, chemische Vakuumbeschichtungsanlage
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
JPH07258839A (ja) * 1994-03-18 1995-10-09 Hitachi Ltd スパッタリング装置
US5874704A (en) * 1995-06-30 1999-02-23 Lam Research Corporation Low inductance large area coil for an inductively coupled plasma source
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5841623A (en) * 1995-12-22 1998-11-24 Lam Research Corporation Chuck for substrate processing and method for depositing a film in a radio frequency biased plasma chemical depositing system
US5669977A (en) * 1995-12-22 1997-09-23 Lam Research Corporation Shape memory alloy lift pins for semiconductor processing equipment
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6042901A (en) * 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US5885423A (en) * 1996-03-29 1999-03-23 Lam Research Corporation Cammed nut for ceramics fastening
US5796066A (en) * 1996-03-29 1998-08-18 Lam Research Corporation Cable actuated drive assembly for vacuum chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6888040B1 (en) * 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US5798904A (en) * 1996-06-28 1998-08-25 Lam Research Corporation High power electrostatic chuck contact
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US6216328B1 (en) 1996-07-09 2001-04-17 Lam Research Corporation Transport chamber and method for making same
US5667197A (en) * 1996-07-09 1997-09-16 Lam Research Corporation Vacuum chamber gate valve and method for making same
JP2001504160A (ja) 1996-09-30 2001-03-27 ラム リサーチ コーポレイション 基板ホルダ上へのポリマーの堆積を削減する装置
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US5904487A (en) * 1996-10-08 1999-05-18 Advanced Micro Devices, Inc. Electrode reshaping in a semiconductor etching device
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
JP3406488B2 (ja) * 1997-09-05 2003-05-12 東京エレクトロン株式会社 真空処理装置
TW432578B (en) 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6395640B2 (en) 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US6182851B1 (en) 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
KR100290511B1 (ko) * 1999-03-27 2001-05-15 윤영세 반도체 건식각장비의 분리형 상부전극
US6267545B1 (en) 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
US6095741A (en) * 1999-03-29 2000-08-01 Lam Research Corporation Dual sided slot valve and method for implementing the same
US6263542B1 (en) 1999-06-22 2001-07-24 Lam Research Corporation Tolerance resistant and vacuum compliant door hinge with open-assist feature
US6244811B1 (en) 1999-06-29 2001-06-12 Lam Research Corporation Atmospheric wafer transfer module with nest for wafer transport robot
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
US6377437B1 (en) 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6913243B1 (en) * 2000-03-30 2005-07-05 Lam Research Corporation Unitary slot valve actuator with dual valves
US6390448B1 (en) 2000-03-30 2002-05-21 Lam Research Corporation Single shaft dual cradle vacuum slot valve
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6461974B1 (en) 2000-10-06 2002-10-08 Lam Research Corporation High temperature tungsten etching process
US6475336B1 (en) 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6403322B1 (en) 2001-03-27 2002-06-11 Lam Research Corporation Acoustic detection of dechucking and apparatus therefor
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6786175B2 (en) 2001-08-08 2004-09-07 Lam Research Corporation Showerhead electrode design for semiconductor processing reactor
US20030047282A1 (en) * 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7861667B2 (en) * 2002-05-23 2011-01-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US6838012B2 (en) 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
KR100585022B1 (ko) 2004-08-20 2006-06-01 주식회사 에이디피엔지니어링 플라즈마 처리장치 및 그 보수방법
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
KR100667165B1 (ko) 2004-11-08 2007-01-12 삼성전자주식회사 반도체 제조용 공정챔버
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7662254B2 (en) * 2007-02-08 2010-02-16 Lam Research Corporation Methods of and apparatus for aligning electrodes in a process chamber to protect an exclusion area within an edge environ of a wafer
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US8418649B2 (en) 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
WO2009078923A2 (en) 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
KR20120116923A (ko) * 2009-11-30 2012-10-23 램 리써치 코포레이션 각진 측벽을 가진 정전 척
DE202010015933U1 (de) 2009-12-01 2011-03-31 Lam Research Corp.(N.D.Ges.D.Staates Delaware), Fremont Eine Randringanordnung für Plasmaätzkammern
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9171702B2 (en) 2010-06-30 2015-10-27 Lam Research Corporation Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
US8485128B2 (en) 2010-06-30 2013-07-16 Lam Research Corporation Movable ground ring for a plasma processing chamber
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
CN104011838B (zh) 2011-11-24 2016-10-05 朗姆研究公司 具有柔性对称的rf返回带的等离子体处理室
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210107716A (ko) 2019-01-22 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 펄스 전압 파형을 제어하기 위한 피드백 루프
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11462388B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Plasma processing assembly using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5450440A (en) * 1977-09-29 1979-04-20 Cho Lsi Gijutsu Kenkyu Kumiai Plasma etching device
JPS5610932A (en) * 1979-07-09 1981-02-03 Mitsubishi Electric Corp Plasma treating apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4226898A (en) * 1978-03-16 1980-10-07 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors produced by a glow discharge process
US4230515A (en) * 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4297162A (en) * 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4282077A (en) * 1980-07-03 1981-08-04 General Dynamics, Pomona Division Uniform plasma etching system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5450440A (en) * 1977-09-29 1979-04-20 Cho Lsi Gijutsu Kenkyu Kumiai Plasma etching device
JPS5610932A (en) * 1979-07-09 1981-02-03 Mitsubishi Electric Corp Plasma treating apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0161257U (ja) * 1987-10-09 1989-04-19
JPH0389763U (ja) * 1989-12-25 1991-09-12

Also Published As

Publication number Publication date
GB2093266B (en) 1984-11-21
US4340462A (en) 1982-07-20
GB2093266A (en) 1982-08-25
JPS57156034A (en) 1982-09-27
DE3204311A1 (de) 1982-09-09

Similar Documents

Publication Publication Date Title
JPS6244971B2 (ja)
US4673456A (en) Microwave apparatus for generating plasma afterglows
KR101183509B1 (ko) 클램핑된 모놀리식 샤워헤드 전극
US7138067B2 (en) Methods and apparatus for tuning a set of plasma processing steps
US7867355B2 (en) Adjustable height PIF probe
US7849815B2 (en) Plasma processing apparatus
TW201923948A (zh) 具有電浮電源供應的基板支撐件
US6511577B1 (en) Reduced impedance chamber
JPS6122032B2 (ja)
JPS63131520A (ja) ドライエツチング装置
SG177070A1 (en) Movable ground ring for a plasma processing chamber
EP0047395B1 (en) System for reactive ion etching
US7023002B2 (en) Surface treating device and surface treating method
US4496449A (en) Electron beam etching of integrated circuit structures
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
EP0033345B1 (en) High capacity etching apparatus
WO2022003803A1 (ja) エッチング処理方法およびエッチング処理装置
JPH0768618B2 (ja) プラズマ処理装置
GB2068286A (en) Reactive sputter etching of silicon
JPH0484429A (ja) 電子ビーム励起ドライエッチング方法及び装置
KR100686284B1 (ko) 상부 전극 유닛 및 이를 이용한 플라즈마 처리 장치
JPH11191554A (ja) プラズマ処理装置およびプラズマ処理方法
JPH0312922A (ja) 絶縁性シリコン化合物薄膜の加工法
JPH0798145B2 (ja) プラズマ処理装置
Shao et al. Synchrotron‐Radiation‐Excited Etching of Silicon Wafer Enhanced by Disk‐Shaped CF 4 Plasma