JPS60217148A - コーテイングされた製品 - Google Patents

コーテイングされた製品

Info

Publication number
JPS60217148A
JPS60217148A JP60064063A JP6406385A JPS60217148A JP S60217148 A JPS60217148 A JP S60217148A JP 60064063 A JP60064063 A JP 60064063A JP 6406385 A JP6406385 A JP 6406385A JP S60217148 A JPS60217148 A JP S60217148A
Authority
JP
Japan
Prior art keywords
carbon
layer
substrate
diamond
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60064063A
Other languages
English (en)
Other versions
JPH0534142B2 (ja
Inventor
ロバート・ドメニコ・ギグリア
リチヤード・ハワード・クラセン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wyeth Holdings LLC
Original Assignee
American Cyanamid Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by American Cyanamid Co filed Critical American Cyanamid Co
Publication of JPS60217148A publication Critical patent/JPS60217148A/ja
Publication of JPH0534142B2 publication Critical patent/JPH0534142B2/ja
Granted legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/043Improving the adhesiveness of the coatings per se, e.g. forming primers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/046Forming abrasion-resistant coatings; Forming surface-hardening coatings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/06Coating with compositions not containing macromolecular substances
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]
    • Y10T428/24967Absolute thicknesses specified
    • Y10T428/24975No layer or component greater than 5 mils thick
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31507Of polycarbonate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31721Of polyimide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31725Of polyamide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31786Of polyester [e.g., alkyd, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31931Polyene monomer-containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31938Polymer of monoethylenically unsaturated hydrocarbon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Laminated Bodies (AREA)
  • Chemical Vapour Deposition (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 本発明は、特にプラスチック及び他の比較的軟い基質(
substrate) に対する硬いコーティングに関
する。更に特に本発明は、ダイヤモンド様炭素の保護層
を比較的軟い基質に接着させて、透明で電気絶縁性のコ
ーティングを付与する方法にで金槁やガラス基質上に付
着せしめられてきた。
ここ拠「ダイヤモンド様炭素」とは、並はずれた硬度を
有する、例えばカミソリの刃で切れず、000スチール
・ウールと摩擦しても傷がつかず、また高屈折率例えば
Z、2.oと高電気抵抗とを有する炭素を意味する。化
学結合はグラファイトの8P2の三角形の結合に相対す
る如き8Plのダイヤモンド様の結合が主のようである
。ダイヤモンド様炭素膜はX線による結晶性パターンを
示しても示さなくてもよい。ダイヤモンド様炭素膜は比
較的低い水素含量を有し、約2900 ca−’におけ
るC−H結合の伸縮振動による赤外吸収は存在しないか
、比較的弱く、また水素含量は通常の技術、例えば2次
イオン質量分光法(8IMS)によって測定した時比較
的低い。付着条件に依存して、炭素を含んでなるより硬
くない膜も金属及びガラス基質上に付着せしめられてき
た。ダイヤモンド様炭素に対比して、これらのフィルム
はカミソリの刃により或いはスチール・ウールでの摩擦
により、容易に傷がつき、低屈稍率例えば<1.5と比
較的低い電気抵抗を有する。これはダイヤモンド様炭素
膜よりも高水素含量を有し、約2900cm−’におけ
るC−H結合伸縮振動による赤外吸収は非常に強く、そ
して水素含量は8IM8でも比較的高い。
炭化水素ガス、例えばメタンの熱分解はダイヤモンド基
質上に炭素をダイヤモンド形で付着させると報告されて
いるが、特別な証拠は提示されていない(エバーソール
(Eversole)、米国特許第3.030.187
号、及び米国特許第3.030.188号)。アイセン
バーブ(Aisenberg) (D米国特許第3.9
61.103号は、イオン化されたカーボン及びアルゴ
ンを基質に向わさせるために40eVのエネルギーのイ
オン線を用いたが、結晶学的検討を行なっていない。エ
チレンとアルゴンを含んでなる直流(dc)プラズマ系
で形成されるイオン衝撃膜はウィットメル(Wh i 
tme l 1 )及びウィリアムソン(Wi l 1
 i ams on )、シン暢ンリラド・フィルムズ
(Thin 5olid Films)、35(197
6)、255頁、に開示されており、この膜は金属陽極
基質(metal cathodesubstrate
)において硬い炭素を含んでなる。
アセチレンを含んでなるdcグロー放電プラズマで形成
される膜は水素化された非晶質炭素を含んでなることが
、メイヤーソン(Meyerson)及びスミス(Sm
ith)、ジャーナル・オン・ノン−クリスタリン・ン
リツズ(Journal of Non−Crysta
lline 5olids)、35及び36(198o
)435〜440に記述されている。ブタンを10”ト
ール及び180ワツトの電源出力で用いることによりラ
ジオ周波数(rf)で発生させたプラズマで付着させた
イオン衝撃炭素膜は絶縁性を有することが、エル・ホラ
ラド(L、Ho1land)、ニー・ケー・プロビジョ
ナル・パテント・アプリケ−ショア (U、 K、Pr
ovisional Patent Appl i −
cation)第33794号(1976)に記述され
ている。ヒラツカ(Hiratsuka)、アコパリ(
Akovati)、シx 7 (Shen)及びヘル(
Be 11 )、ジャーナル・オン・アプライド・ポリ
マー・サイエンス(Journal of Appli
ed Polymer8cience)、第22巻、9
17〜925 (1978)、はrfグロー放電で発生
せしめたプラズマ中においてメタン、エタン、プロパン
及びn−ブタンをアルミニウム又は塩化ナトリウム板上
で重合させ、C−H膜を得た。基質のスパッターリング
も、オジャ(Ojha)及びホララド(Holland
)、ブロク・セブンス・インド・バキューム・コングル
(Proc。
7 th Int、 ’Vacuum Congr、)
及びサード・インド・コン7・オン・ソリッド・サーフ
ェシズ(3rd Int、Conf、on 5olid
 5urfaces)、ビエンナ(Vienna)、1
977.1667頁に報告されているように炭素膜を金
、アルミニウム及びシリコン上に形成させることも公知
である。最も容易で、広く適用しつる方法は炭素のイオ
ンビーム付着及び炭化水素気体のrfプラズマ分解であ
る。そのような方法は、ボラ(Vora)及びモラベク
(Moravec)、”ストラクチュラル・インベステ
イゲーション・オン・シンーフィルムズ・オン・ダイヤ
モンドライク・カーボン(Structu−ral I
nvestigation of Th1n Film
s ofDiamondlike Carbons”、
 ジェ(−7プル・フィス(J、 Appl、 Phy
s、 )、52:1o16151〜6157頁(198
1)、モラパク(Moravec)及びり−(Lee)
、 ”インベスティゲーション・オン・メカニカリー・
ハード・ケミカリ−・イナート・アンチリフレクション
・コーティングズ・フォー・フオトボルタイク・ソーラ
ー・モシュールズ(Investigation of
 MechanicallyHard、 Chemic
ally Inert Antireflec−目on
 Coatings for Photovoltai
cSolar Modules”、セリ・コントラフl
−(SERIContract)第X8−O−9010
−3−プログレス・レポート(Progress Re
port)、1980年11月30日、4頁、及びホラ
ラド(Holland)及びオジャー10jha)、−
ザ・グロース・オン・カーボン・フィルムズ・クイズ・
ランダム・アトミック・ストラクチュア・フロム・イオ
ン・インパクト・ダメージ・イン・ア・ハイドロカーボ
ン・Impact Damage In a Hydr
ocarbonPlasma)”、シン・ソリッド・フ
ィルムズ(Thin 5olid Films)、58
.107〜116頁(1979)に議論されている。ボ
ラ及びモラペクに報告されるように、これらの方法で製
造される膜は、rf比出力付着圧力との比を増大させる
と、軟い(カミソリの刃で容易に傷つく)から硬い(カ
ミソリの刃で傷つきにくい)まで急激に変化することが
観察される。この変化における比の値は約100ワツト
/トールであった。
現在の技術では、薄い炭素膜が耐火性の基質例えば金属
、がラス及びセラミックに耐摩耗性コーティングとして
成功裏に適用されてきた。しかし同一の膜を、硬さの小
さく、熱安定性の小さい基質例えばアクリル、ポリ塩化
ビニル(PVC)、 ボ!Jカーボネート及び他のプラ
スチックへ適用しても表面に付着せず、従ってそのよう
な薄い膜の保護コーティングとしての使用は非常に制限
された。
カーボン膜がプラスチック表面から分離する傾向は十分
に理解できないけれど、それは少くとも一部が硬い綻素
膜とプラスチックに対する熱膨張係数の実質的な差のせ
いである:炭素(グラファイト)は1.3〜1.5 X
 10−’インチ/インチ/〒の程度の熱膨張係数(C
TE)を有し;アクリル、PVC及びポリカーボネート
は10〜50XIO−’インチ/インチ/〒の程度のC
TEを有し、そしてソーダ/石灰ガラスは4.8〜5.
lX10−’インチ/インチ/’FのCTEを有する。
結果として、ダイヤモンド様の炭素膜の、比較的高い膨
張性/収縮性で、柔軟でもある基質、例えばプラスチッ
クへの接着を改良させる手段は保護コーティング技術に
とって非常に興味深い。
今回、基質とダイヤモンド様炭素を含むフィルムとの表
面間に比較約款い、炭素を含んでなる層を介在させるこ
とにより、硬い表面の性質のいずれをも犠牲にしないで
、外側のコーティングの優秀な接着が達成されるという
ことが発見された。
炭化水素気体のラジオ周波数(rf)のプラズマ分解の
ような付着技術を用いると、2つの僅かに異なった形の
炭素の中間層及び保護層が同一の供給気体を使用して同
じ操作で適用でき、機械的に硬く、電気的に絶縁性の、
化学的に不活性で、そして光学的に透明なダイヤモンド
様炭素の外側表面コーティングをもったコーティングさ
れた基質が提供できる。
従って本発明の目的は、基質特にプラスチック様基質に
対して新規な硬い保護コーティングを提供することであ
る。
本発明の更なる目的は、ダイヤモンド様炭素を含んでな
る付着性の薄い膜を基質、特にプラスチック様基質に適
用する手段を提供することである。
本発明の更なる目的は、透明、電気絶縁性、耐摩耗性及
び耐化学品性である基質特にプラスチック様基質のため
の付着性コーティングを提供することである。
これらの及び他の目的は、 (11)該基質の少くとも1つの表面に隣り、炭素を含
んでなる比較約款い中間層;及び(ID 該中間層に隣
り、ダイヤモンド様炭素を含んでなる比較的硬い外側の
保護層、 を含んでなる製品によって達成される。
好適な具体例において、中間層(11)は炭素及び水素
を含んでなり、厚さが約100〜5000Aであり、そ
してスチールウールとの摩擦で傷をつけることができる
。他の好適な具体例において、該外側の保護層OiDは
炭素を含んでなり、厚さが約1000〜20,0OOA
であり、そしてスチール・ウールとの摩擦で傷をつける
ことができない。更なる好適な特徴では該中間層(11
)は炭素及び水素を含んでなり、厚さが約100〜50
00Aであり、そしてスチール・ウールで傷をつけるこ
とができ、また該外側の保護層aIDは炭素だけ又は炭
素及び水xktJ−1に−7−y!、/PIA Ml−
4pa14k ff l’l /l /1−、リハnn
nλであり、そしてスチール・ウールでの摩擦によって
傷をつけることができず、炭素に対する水素の量が0又
は層Q+Dにおいて層(11)よりも多くても実質的に
低い。
更に中 炭素を含んでなる第1の比較約款い薄膜を基質
の少くとも1つの表面に付着し、そして (11)該第1の薄膜の上にダイヤモンド様炭素を含ん
でなる第2の比較的硬い薄膜を付着する ことを特徴とするダイヤモンド様炭素の接着性薄膜を固
体基質に適用するための方法が意図される。
好適な特徴では、基質の表面を、炭化水素気体例えばメ
タン、エタン、プロパン、ブタン、アセチレン、ベンゼ
ンなどの、低rf出力対圧力比、即ち100ワツト/ト
ール以下の比におけるrfプラズマ分解により、炭素を
厚さ約100〜5000Xで含んでなる比較約款い中間
層でコーティングし、次いで100ワット/トール以上
の増大させたrf出力対圧力比において厚さ約1000
〜20,0OOAのダイヤモンド様炭素を含んでなる比
較的硬い層でコーティングする。
本発明の目的に対して、保護の難しい基質は、炭素の硬
い表面コーティングの付着しない、金属、ガラス又はセ
ラミックスと比べて硬い又は比較的硬さの小さい又は柔
軟な材料のいずれかを含む。
そのような基質は一般にプラスチック、特にアクリル、
ポリ塩化ビニル、ポリカーボネートなどを含むが、これ
に限定されるものではない。
図面は、炭素膜を炭化水素気体のラジオ周波数プラズマ
分解によって付着させるための装置を系統的に例示する
本発明の保護コーティングされた製品は、炭素を含んで
なる比較約款い及び非常に硬い2つの層を、ダイヤモン
ド様炭素の直接付着させた単一の硬い層との接着的接触
を特徴的に拒絶し又は失なう保護の難しい基質の表面上
に付着させることによって製造される。炭素を含有する
比較約款い層、例えば水素を比較的高濃度で含有する層
を、基質とダイヤモンド様炭素層の間に介在させること
により、硬いコーティングの優秀な接着性を示し且つそ
のような硬い炭素コーティングの優秀な物理性を保有す
る保護コーティングされた基質が得られる。
ここに意図される基質は、種々の方法で、例えば炭素イ
オン線付着又はラジオ周波数プラズマ分解によって適用
される硬い炭素膜との接着的接触を成功裏に保持しない
物質である。そのような材料は塩例えばNaCl板及び
天然重合体を含む。基質はしばしば硬い炭素/低水素含
量形のものよりも実質的に大きい熱膨張係数を有する。
しかしながら、硬い炭素に近い熱膨張係数を有するが、
本発明に従って比較約款い高水素含有の炭素膜の介在に
よってだけダイヤモンド様炭素膜を接着的に適用しうる
他の材料も意図される。それ故に、本発明の目的に適す
る基質の全範囲を発見するのには何らかの実験が必要で
ある。
特に本発明で意図される基質は、透明な及び不透明なプ
ラスチック、即ちアクリル例えばアクリル酸、メタクリ
ル酸、これらの酸のエステル又はアクリロニドIJルの
重合体及び共重合体、特にポリ(メチルメタクリレート
)及びルーサイト■ (Lucite) 、7”lz4.ff5X(Plex
iglas)■及びアク17.イ)(Acry…8)■
。ような商。
名で公知の材料;ポリカーボネート特にポリ(ビスフェ
ノール−Aカーボネート)及びマーロン(Merlon
)■RULz−++7 (Lexan)■。ようヶ商品
名で販売されている材料;ポリエステル例えばポリ(エ
チレンテレフタレート)、ポリ(ブチレンテレフタレー
ト)など;ポリアミド;ポリイミド;スチレン−アクリ
ロニトリル共重合体:スチレン−アクリロニトリル−ブ
タジェンターポリマー;ポリビニル及びビニリデンハラ
イド重合体及び共重合体、特にポリ塩化ビニル(pvc
)、ポリビニルブチレート、ポリエチレンなど、を含む
合成有機重合体である。
本発明によれば、最初に軟い炭素膜が基質の表面に隣っ
て付着せしめられる′。この層は基質と表面層であるダ
イヤモンド様炭素を含んでなる硬い膜との間において、
結合層として働く。この結合層の炭素は普通褐色又は帯
黄色を有するであろうが、可視光にとって透明である。
硬くない基質と硬い外側の、ダイヤモンド様炭素を含ん
でなる膜との間の接着を高める厚さが意図されるけれど
、好ましくは、この結合炭素層の厚さは約100〜歌い
炭素を含んでなる層に隣っては、保護の、ダイヤモンド
様炭素を含んでなる硬い膜が付着せしめられる。この外
側の膜は、ダイヤモンド様炭素膜を保護の高性能コーテ
ィングとして望ましいものとする硬い、絶縁性の、不活
性な性質に寄与する。好ましくは、このダイヤモンド様
炭素を含んでなる外側の膜は厚さが約1000〜約20
,000Aであろう。
上述の結合層と外側の硬い層とは同一の材料、即ち水素
含量及び硬さの程度に差はあっても炭素からなるから、
2つの層は炭素を基質上に付着させる条件を変えること
により同一の操作の一部として有利に適用でき、両コー
ティングが付与されるまで基質を取扱う又は操作する必
要がない。
本発明の実施において、薄い炭素膜の付着には他の公知
の方法が使用できるけれど、「グロー放電」としても公
知の炭化水素気体のラジオ周波数でのプラズマ分解が最
も好適な方法である。プラズマに対するエネルギーは容
量性又は誘電性のカップリングによって供給することが
できる。図面で見られるように、代表的な誘電的にカッ
プリングされるrfプラズマ反応器、即ち反応管2がr
f電力源6に連結されているチューニング・ネットワー
ク・コイル4の軸上にある。電力計8は電力値を監視す
る。コーティングすべき基質IOを熱シンク(heat
 5ink) 12対して置き、これを例えば導管14
a及び14bに水を通して冷却する。炭化水素気体例え
ばメタン、エタン、グロバン、ブタン、ベンゼン、アセ
チレンなどは、バルブ及び計量器を通して且つ拡散器1
8を通してタンク16a、16b又は16Cのいずれか
から導入される。反応管2を真空ポンプ20で脱気し、
スロットル・バルブ22を用いて系内の付着圧力を制御
する。炭素及び水素イオン及び電子のプラズマは電極間
で形成され、炭素イオンが熱シンク12及び基質lO上
に突き当る。約100ワツト/トール以下のラジオ周波
数出力と付着圧力の比で、歌い炭素膜が付着せしめられ
る。約100ワツト/トール以上で硬いダイヤモンド様
炭素膜が付着される。
尚業者は、本発明を制限するのではなくて、その例示の
ために示す次の実施例を考慮するととKよって本発明の
実施法をより良く理解しよう。
実施例1 アクリライト(A・・ylit・)■のポリ(メチ−メ
タクリレート)(サイロ・インダストリーズ(Cyro
 Industries))ノ%“×1“×1“の試料
をキシレン中で2分間超音波できれいにし、次いでイソ
プロパツールでゆすぎ、空気乾燥した。
このプラスチック試料を水冷されたアルミニウムの熱シ
ンクに取りつけ、石英真空管(内径50藺)中に置いた
。真空を0.15トールとし、アセチレンの気体を0.
5CC/分の流速で導入した。13.56MHz にお
いて5ワツトのラジオ周波数出力を5分間適用して、厚
さ約700Aの炭素を含んでなる比較的歌い膜を形成さ
せた。次いで真空を0.08トールとし、アセレンo、
 s cc 7分及び窒素0.17分の混合気体を供給
した。13.56 MHz において20ワツトのrf
電力は厚さ約15001のダイヤモンド様炭素を外側層
として含んでなる硬い膜を生成した。
付着した膜は明視色を有したが、透明であった。
このコーティングされた基質は手持ちの000スチール
・ウールで摩擦したが、傷を示さなかった。
加ツチ(Scotch)■の透明テープを、こ加−ティ
ングされた表面に適用し、その場に圧着し、次いで90
’ の角度で引き離した;ダイヤモンド様炭素を含んで
なる膜はそのままであり、良好な接着を示した。これに
対し、中間層を付着させてない場合には接着が貧弱であ
った。
実施例2 ポリ塩化ビニルのシートの発“×1”×1“の試料を石
けんと水で洗い、イソプロパツール中で超音波によりき
れいにし、空気乾燥した。次いで試料を図面に示す如き
装置中に入れ、rf出力30ワット、真空0.5トール
、及び酸素供給気体の条件で10分間ラジオ思波数での
グロー放電処理に供した。次いで試料を実施例1におけ
る如くコーティングし、軟い炭素膜上に硬いダイヤモン
ド様炭素膜を付与した。同様の耐摩耗性及びコーティン
グ接着性を示すコーティングされた基質が得られた。
上述の特許及び刊行物は本明細書に参考文献として引用
される。本発明における変化及び改変は上記開示に照ら
して明白になるであろう。例えば付着技術、供給気体の
組成、即ち純粋な炭化水素気体か或いは気体混合物か、
試料の滞電時間、供給速度などは、本発明を実施する際
の特別な必要性に従って変えることができる。しかしな
がらすべてのそのような改変は、特許請求の範囲で定義
される如き本発明の意図する全範囲内に包含される。
【図面の簡単な説明】
薪1mは、炭素膜を炭化水素気体のラジオ周波数プラズ
マ分解によって付着させるための装置を概略的に例示す
る。 特許出願人 アメリカ・サイアナミド・カンパニー 驚

Claims (1)

  1. 【特許請求の範囲】 1、中 固体の基質; (11)該基質の少くとも1つの表面に隣り炭素を含ん
    でなる比較的歌い中間層:及び (11p 該中間層に隣り、ダイヤモンド様炭素を含ん
    でなる比較的硬い外側の保護層、を含んでなる製品 2、該中間層(11)は炭素及び水素を含んでなり、厚
    さが約100〜5000Aであり、そしてスチール・ウ
    ールとの摩擦で傷をつけることができる、特許請求の範
    囲第1項記載の製品。 3、該外側の保護層呻は炭素を含んでなり、厚さが約1
    000〜20,0OOAであり、そしてスチール・ウー
    ルとの摩擦で傷をつけることができない特許請求の範囲
    第1項記載の製品。 46該中間層(11)は炭素及び水素を含んでなり、厚
    さが約100〜5000Xであり、そしてスチール・ク
    ールで傷をつけることができ、また該外側の保護M (
    iii)は炭素及び水素を含んでなり、厚さが約100
    0〜20,0OOAであり、そしてスチール・ウールで
    の摩擦によって傷をつけることができない、炭素に対す
    る水素の量がO又は層011)において層(11)より
    も実質的に低い、特許請求の範囲第1項記載の製品。 5、該基質中がプラスチックからなる特許請求の範囲第
    1項記載の製品。 6、該プラスチックがアクリル、ポリカーボネート、ポ
    リエステル、ポリアミド、ポリイミド、スチレン−アク
    リロニトリル共重合体、スチレン−アクリロニトリル−
    ブタジェンターポリマー、及びビニルハライド重合体か
    らなる群から選択される合成有機重合体である、特許請
    求の範囲第5項記載の製品。 7、該合成有機重合体が透明である特許請求の範囲第6
    項記載の製品。 8、該合成有機重合体がポリ(メチルメタクリレート)
    、ポリカーボネート、及びポリ塩化ビニルからなる群か
    ら選択される特許請求の範囲第7項記載の製品。 9、(+) ダイヤモンド様炭素よりも実質的に大きい
    熱膨張係数を有する固体の基質;(11)該基質の少く
    とも1つの表面に隣る比較的軟い炭素の中間層;そして (ii+) 該中間の結合層に隣り、該中間層によって
    該基質に接着的に結合された、ダイヤモンド様炭素の比
    較的硬い外側の保膜層、 を含んでなる保護コーティングされた製品。 10、該中間層(11)は、炭素及び水素を営んでなり
    、厚さが約100〜5oooXであり、そしてスチール
    ・クールとの摩擦によって傷をつけることができる、特
    許請求の範囲第9項記載の製品。
JP60064063A 1984-04-02 1985-03-29 コーテイングされた製品 Granted JPS60217148A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/595,845 US4698256A (en) 1984-04-02 1984-04-02 Articles coated with adherent diamondlike carbon films
US595845 1984-04-02

Publications (2)

Publication Number Publication Date
JPS60217148A true JPS60217148A (ja) 1985-10-30
JPH0534142B2 JPH0534142B2 (ja) 1993-05-21

Family

ID=24384913

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60064063A Granted JPS60217148A (ja) 1984-04-02 1985-03-29 コーテイングされた製品

Country Status (6)

Country Link
US (1) US4698256A (ja)
EP (1) EP0157212B1 (ja)
JP (1) JPS60217148A (ja)
AU (1) AU576232B2 (ja)
CA (1) CA1258605A (ja)
DE (1) DE3583813D1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07311194A (ja) * 1992-12-18 1995-11-28 Becton Dickinson & Co バリヤーコーティング
JPH10500609A (ja) * 1994-03-03 1998-01-20 モンサント カンパニー 柔軟な基体のための高い耐摩耗性及び柔軟なコーティング
WO2014163038A1 (ja) * 2013-04-02 2014-10-09 太陽化学工業株式会社 導電部を有しケイ素を含有する非晶質炭素膜を備える構造体及びその製造方法

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2291888B (en) * 1984-06-08 1996-06-26 Barr & Stroud Ltd Optical coating
US4877677A (en) * 1985-02-19 1989-10-31 Matsushita Electric Industrial Co., Ltd. Wear-protected device
US4725345A (en) * 1985-04-22 1988-02-16 Kabushiki Kaisha Kenwood Method for forming a hard carbon thin film on article and applications thereof
DE3546113A1 (de) * 1985-12-24 1987-06-25 Santrade Ltd Verbundpulverteilchen, verbundkoerper und verfahren zu deren herstellung
DD258341A3 (de) * 1986-03-14 1988-07-20 Hochvakuum Dresden Veb Verfahren zur herstellung haftfester ic-schichten
US4777090A (en) * 1986-11-03 1988-10-11 Ovonic Synthetic Materials Company Coated article and method of manufacturing the article
US4851254A (en) * 1987-01-13 1989-07-25 Nippon Soken, Inc. Method and device for forming diamond film
JPH0676666B2 (ja) * 1987-02-10 1994-09-28 株式会社半導体エネルギ−研究所 炭素膜作製方法
DE3706340A1 (de) * 1987-02-27 1988-09-08 Winter & Sohn Ernst Verfahren zum auftragen einer verschleissschutzschicht und danach hergestelltes erzeugnis
US4865711A (en) * 1987-04-08 1989-09-12 Andus Corporation Surface treatment of polymers
US4804582A (en) * 1987-06-01 1989-02-14 The Dow Chemical Company Static dissipative thermoplastic laminate film
DE3719616A1 (de) * 1987-06-12 1988-12-29 Leybold Ag Verfahren und vorrichtung zur beschichtung eines substrats
EP0297548B1 (en) * 1987-06-29 1994-06-01 Sumitomo Electric Industries Limited Sample holder for glow discharge mass spectrometer
NL8800911A (nl) * 1987-09-30 1989-04-17 Pelt & Hooykaas Optisch element voor het behandelen van licht, werkwijze voor het vervaardigen van een dergelijk optisch element en een dergelijke optische elementen omvattende laseropstelling.
JP2525593Y2 (ja) * 1988-02-05 1997-02-12 株式会社 アビサレ 静電吸着シート
JP2610469B2 (ja) * 1988-02-26 1997-05-14 株式会社 半導体エネルギー研究所 炭素または炭素を主成分とする被膜を形成する方法
US5190824A (en) 1988-03-07 1993-03-02 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating
US6224952B1 (en) * 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
JPH0228399A (ja) * 1988-07-18 1990-01-30 Shinwa Internatl Kk 電波吸収材及びその製造方法
US5432003A (en) * 1988-10-03 1995-07-11 Crystallume Continuous thin diamond film and method for making same
JPH02199099A (ja) * 1988-10-21 1990-08-07 Crystallume 連続ダイヤモンド薄膜およびその製法
US4976324A (en) * 1989-09-22 1990-12-11 Baker Hughes Incorporated Drill bit having diamond film cutting surface
GB2240113A (en) * 1990-01-02 1991-07-24 Shell Int Research Preparation of adsorbent carbonaceous layers
US5126206A (en) * 1990-03-20 1992-06-30 Diamonex, Incorporated Diamond-on-a-substrate for electronic applications
US5073785A (en) * 1990-04-30 1991-12-17 Xerox Corporation Coating processes for an ink jet printhead
CA2044543C (en) * 1990-08-10 1999-12-14 Louis Kimball Bigelow Multi-layer superhard film structure
GB9019219D0 (en) * 1990-09-01 1990-10-17 Atomic Energy Authority Uk Diamond-like carbon coatings
GB9022267D0 (en) * 1990-10-13 1990-11-28 British Petroleum Co Plc Process for depositing a coating on a fibre
US5190807A (en) * 1990-10-18 1993-03-02 Diamonex, Incorporated Abrasion wear resistant polymeric substrate product
JPH07109034B2 (ja) * 1991-04-08 1995-11-22 ワイケイケイ株式会社 硬質多層膜形成体およびその製造方法
US5147687A (en) * 1991-05-22 1992-09-15 Diamonex, Inc. Hot filament CVD of thick, adherent and coherent polycrystalline diamond films
US5225926A (en) * 1991-09-04 1993-07-06 International Business Machines Corporation Durable optical elements fabricated from free standing polycrystalline diamond and non-hydrogenated amorphous diamond like carbon (dlc) thin films
US5397428A (en) * 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5276537A (en) * 1992-01-30 1994-01-04 Physical Optics Corporation Diamondlike carbon thin film protected hologram and method of making same
US5337844A (en) * 1992-07-16 1994-08-16 Baker Hughes, Incorporated Drill bit having diamond film cutting elements
SE501527C2 (sv) * 1992-12-18 1995-03-06 Sandvik Ab Sätt och alster vid beläggning av ett skärande verktyg med ett aluminiumoxidskikt
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
DE4342649C1 (de) * 1993-12-14 1995-02-16 Munsch Kunststoff Schweistechn Magnetkreiselpumpe für aggressive Medien
SE502223C2 (sv) 1994-01-14 1995-09-18 Sandvik Ab Sätt och alster vid beläggning av ett skärande verktyg med ett aluminiumoxidskikt
DE4423891A1 (de) * 1994-07-07 1996-01-11 Daimler Benz Ag Schichtaufbau mit einer organischen Schicht und einer die organische Schicht bedeckenden und gegenüber der organischen Schicht härteren, transparenten Deckschicht sowie Verfahren zur Herstellung des Schichtaufbaus
US5464667A (en) * 1994-08-16 1995-11-07 Minnesota Mining And Manufacturing Company Jet plasma process and apparatus
US5545375A (en) * 1994-10-03 1996-08-13 Becton, Dickinson And Company Blood collection tube assembly
US5702770A (en) * 1996-01-30 1997-12-30 Becton, Dickinson And Company Method for plasma processing
US5716683A (en) 1996-01-30 1998-02-10 Becton, Dickinson And Company Blood collection tube assembly
US5686157A (en) 1996-01-30 1997-11-11 Becton, Dickinson And Company Blood collection tube assembly
US5683771A (en) * 1996-01-30 1997-11-04 Becton, Dickinson And Company Blood collection tube assembly
US5955161A (en) 1996-01-30 1999-09-21 Becton Dickinson And Company Blood collection tube assembly
US5763033A (en) 1996-01-30 1998-06-09 Becton, Dickinson And Company Blood collection tube assembly
US5738920A (en) * 1996-01-30 1998-04-14 Becton, Dickinson And Company Blood collection tube assembly
JP3256459B2 (ja) * 1996-05-20 2002-02-12 株式会社大協精工 衛生品用容器及びその製造方法
US6243112B1 (en) 1996-07-01 2001-06-05 Xerox Corporation High density remote plasma deposited fluoropolymer films
DE19635736C2 (de) * 1996-09-03 2002-03-07 Saxonia Umformtechnik Gmbh Diamantähnliche Beschichtung
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6071597A (en) * 1997-08-28 2000-06-06 3M Innovative Properties Company Flexible circuits and carriers and process for manufacture
US6062679A (en) * 1997-08-28 2000-05-16 Hewlett-Packard Company Printhead for an inkjet cartridge and method for producing the same
US6155675A (en) * 1997-08-28 2000-12-05 Hewlett-Packard Company Printhead structure and method for producing the same
US6203898B1 (en) * 1997-08-29 2001-03-20 3M Innovatave Properties Company Article comprising a substrate having a silicone coating
US6287711B1 (en) 1998-07-01 2001-09-11 Front Edge Technology, Inc. Wear-resistant coating and component
US6200626B1 (en) * 1999-05-20 2001-03-13 Bausch & Lomb Incorporated Surface-treatment of silicone medical devices comprising an intermediate carbon coating and graft polymerization
US6630243B2 (en) 1999-05-20 2003-10-07 Bausch & Lomb Incorporated Surface treatment of silicone hydrogel contact lenses comprising hydrophilic polymer chains attached to an intermediate carbon coating
US6213604B1 (en) 1999-05-20 2001-04-10 Bausch & Lomb Incorporated Plasma surface treatment of silicone hydrogel contact lenses with a flexible carbon coating
US6290331B1 (en) 1999-09-09 2001-09-18 Hewlett-Packard Company High efficiency orifice plate structure and printhead using the same
US6761736B1 (en) 1999-11-10 2004-07-13 St. Jude Medical, Inc. Medical article with a diamond-like carbon coated polymer
US20040028906A1 (en) * 2000-01-04 2004-02-12 Anderson Jerrel Charles Diamond-like carbon coating on glass and plastic for added hardness and abrasion resistance
US6749813B1 (en) 2000-03-05 2004-06-15 3M Innovative Properties Company Fluid handling devices with diamond-like films
US6795636B1 (en) 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
US6316734B1 (en) 2000-03-07 2001-11-13 3M Innovative Properties Company Flexible circuits with static discharge protection and process for manufacture
DE10018143C5 (de) * 2000-04-12 2012-09-06 Oerlikon Trading Ag, Trübbach DLC-Schichtsystem sowie Verfahren und Vorrichtung zur Herstellung eines derartigen Schichtsystems
EP1158088A3 (de) * 2000-05-26 2003-01-22 Voith Paper Patent GmbH Verfahren und Vorrichtung zur Behandlung einer Faserstoffsuspension
FR2812665B1 (fr) * 2000-08-01 2003-08-08 Sidel Sa Procede de depot de revetement par plasma, dispositif de mise en oeuvre du procede et revetement obtenu par un tel procede
US6459043B1 (en) 2001-03-29 2002-10-01 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature and method of manufacture
US6815620B2 (en) * 2001-03-29 2004-11-09 3M Innovative Properties Company Flexible circuit with electrostatic damage limiting feature
US6995954B1 (en) 2001-07-13 2006-02-07 Magnecomp Corporation ESD protected suspension interconnect
US7106939B2 (en) * 2001-09-19 2006-09-12 3M Innovative Properties Company Optical and optoelectronic articles
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US6904935B2 (en) 2002-12-18 2005-06-14 Masco Corporation Of Indiana Valve component with multiple surface layers
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US7489493B2 (en) * 2003-12-01 2009-02-10 Magnecomp Corporation Method to form electrostatic discharge protection on flexible circuits using a diamond-like carbon material
WO2006058547A1 (en) * 2004-12-01 2006-06-08 Sidel Participations Method for manufacturing a pecvd carbon coated polymer article and article obtained by such method
US7846579B2 (en) * 2005-03-25 2010-12-07 Victor Krasnov Thin film battery with protective packaging
US8679674B2 (en) 2005-03-25 2014-03-25 Front Edge Technology, Inc. Battery with protective packaging
US20070020451A1 (en) * 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US20070026205A1 (en) 2005-08-01 2007-02-01 Vapor Technologies Inc. Article having patterned decorative coating
US7862927B2 (en) * 2007-03-02 2011-01-04 Front Edge Technology Thin film battery and manufacturing method
US8870974B2 (en) * 2008-02-18 2014-10-28 Front Edge Technology, Inc. Thin film battery fabrication using laser shaping
US7862627B2 (en) 2007-04-27 2011-01-04 Front Edge Technology, Inc. Thin film battery substrate cutting and fabrication process
US8628645B2 (en) 2007-09-04 2014-01-14 Front Edge Technology, Inc. Manufacturing method for thin film battery
US8502494B2 (en) 2009-08-28 2013-08-06 Front Edge Technology, Inc. Battery charging apparatus and method
US8865340B2 (en) 2011-10-20 2014-10-21 Front Edge Technology Inc. Thin film battery packaging formed by localized heating
US9887429B2 (en) 2011-12-21 2018-02-06 Front Edge Technology Inc. Laminated lithium battery
US8864954B2 (en) 2011-12-23 2014-10-21 Front Edge Technology Inc. Sputtering lithium-containing material with multiple targets
US9257695B2 (en) 2012-03-29 2016-02-09 Front Edge Technology, Inc. Localized heat treatment of battery component films
US9077000B2 (en) 2012-03-29 2015-07-07 Front Edge Technology, Inc. Thin film battery and localized heat treatment
US9159964B2 (en) 2012-09-25 2015-10-13 Front Edge Technology, Inc. Solid state battery having mismatched battery cells
US8753724B2 (en) 2012-09-26 2014-06-17 Front Edge Technology Inc. Plasma deposition on a partially formed battery through a mesh screen
US9356320B2 (en) 2012-10-15 2016-05-31 Front Edge Technology Inc. Lithium battery having low leakage anode
US10008739B2 (en) 2015-02-23 2018-06-26 Front Edge Technology, Inc. Solid-state lithium battery with electrolyte
US20180273713A1 (en) * 2015-09-30 2018-09-27 3M Innovative Properties Company Multilayer barrier stack
CN108930061B (zh) * 2017-05-22 2021-04-02 清华大学 外延生长装置及其生长外延层的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053545A (ja) * 1983-09-02 1985-03-27 Kao Corp 透明樹脂製部品の表面処理方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2622053A (en) * 1945-12-04 1952-12-16 Henry W Clowe Method of joining surfaces of heat-fusible materials
US2512230A (en) * 1947-02-07 1950-06-20 C D Patents Ltd Joining of carbon bodies to other bodies
US2927879A (en) * 1956-09-13 1960-03-08 Union Carbide Corp Method for joining carbon articles
GB1032828A (en) * 1962-07-06 1966-06-15 Ionic Plating Company Ltd Improved method for depositing chromium on non-metallic substrates
US3961103A (en) * 1972-07-12 1976-06-01 Space Sciences, Inc. Film deposition
US3952334A (en) * 1974-11-29 1976-04-27 General Atomic Company Biocompatible carbon prosthetic devices
US4164045A (en) * 1977-08-03 1979-08-14 Carbomedics, Inc. Artificial vascular and patch grafts
US4245003A (en) * 1979-08-17 1981-01-13 James River Graphics, Inc. Coated transparent film for laser imaging
US4436797A (en) * 1982-06-30 1984-03-13 International Business Machines Corporation X-Ray mask
AU1823283A (en) * 1982-07-09 1984-02-08 Tvi Energy Corp. Electrically conductive laminate having improved resistance stability and its use in heating

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6053545A (ja) * 1983-09-02 1985-03-27 Kao Corp 透明樹脂製部品の表面処理方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07311194A (ja) * 1992-12-18 1995-11-28 Becton Dickinson & Co バリヤーコーティング
JPH10500609A (ja) * 1994-03-03 1998-01-20 モンサント カンパニー 柔軟な基体のための高い耐摩耗性及び柔軟なコーティング
WO2014163038A1 (ja) * 2013-04-02 2014-10-09 太陽化学工業株式会社 導電部を有しケイ素を含有する非晶質炭素膜を備える構造体及びその製造方法
JPWO2014163038A1 (ja) * 2013-04-02 2017-02-16 太陽誘電ケミカルテクノロジー株式会社 導電部を有しケイ素を含有する非晶質炭素膜を備える構造体及びその製造方法

Also Published As

Publication number Publication date
JPH0534142B2 (ja) 1993-05-21
AU4055785A (en) 1985-10-10
DE3583813D1 (de) 1991-09-26
EP0157212A2 (en) 1985-10-09
EP0157212B1 (en) 1991-08-21
EP0157212A3 (en) 1987-03-25
AU576232B2 (en) 1988-08-18
CA1258605A (en) 1989-08-22
US4698256A (en) 1987-10-06

Similar Documents

Publication Publication Date Title
JPS60217148A (ja) コーテイングされた製品
US4490229A (en) Deposition of diamondlike carbon films
Siperko et al. Chemical and physical modification of fluoropolymer surfaces for adhesion enhancement: A review
TW453900B (en) Jet plasma process and apparatus for deposition of coatings and the coatings thereof
CA2147486C (en) Protective film for articles and method
KR20010031646A (ko) 플라스마중합 수단에 의한 금속 피착재의 내식코팅방법
Bubenzer et al. Optical properties of hydrogenated hard carbon thin films
AU705485B2 (en) Barrier films having vapor coated EVOH surfaces
KR102347214B1 (ko) 그래핀 복합 배리어 필름 및 그 제조 방법
US5279866A (en) Process for depositing wear-resistant coatings
CN112895656A (zh) 一种水汽阻隔复合膜及其制备方法和应用
JP3767002B2 (ja) ガスバリアフィルムおよびその製造方法
Lee et al. Mechanical properties of aC: H and aC: H/SiOx nanocomposite thin films prepared by ion-assisted plasma-enhanced chemical vapor deposition
JP3352943B2 (ja) バリア性フィルム及びその製造法
US5672383A (en) Barrier films having carbon-coated high energy surfaces
JPH10244601A (ja) 薄膜ガスバリア性フィルム用の基材プラスチックフィルムの製造方法
Silvain et al. Characterization of an evaporated Al/PET interface by TEM and correlation with adhesion measured by a peel test
JP3057072B2 (ja) ダイヤモンド状炭素膜作製方法
JPH11302403A (ja) バリア性フィルム及びその製造方法
JP3194513B2 (ja) フッ素系ポリマー傾斜薄膜
JP3281354B2 (ja) ダイヤモンド状炭素膜の作製方法
JP3256212B2 (ja) ダイヤモンド状炭素膜の作製方法
Banks et al. Ion beam sputter etching and deposition of fluoropolymers
JP2008120077A (ja) Dlc膜で被覆の接着性改善ポリオレフィン部材
JP2898338B2 (ja) カーボン硬質膜の被覆方法