JPS59181614A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPS59181614A
JPS59181614A JP5595783A JP5595783A JPS59181614A JP S59181614 A JPS59181614 A JP S59181614A JP 5595783 A JP5595783 A JP 5595783A JP 5595783 A JP5595783 A JP 5595783A JP S59181614 A JPS59181614 A JP S59181614A
Authority
JP
Japan
Prior art keywords
film
insulating film
contact hole
forming
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5595783A
Other languages
Japanese (ja)
Other versions
JPH0481323B2 (en
Inventor
Masaki Sato
正毅 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP5595783A priority Critical patent/JPS59181614A/en
Publication of JPS59181614A publication Critical patent/JPS59181614A/en
Publication of JPH0481323B2 publication Critical patent/JPH0481323B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE:To give the size of a pattern an allowance, and to fine an integrated circuit and increase the degree of integration of the circuit by forming a spacer film on an insulating film, shaping an opening having a diameter larger than a desired contact hole to the laminated films and forming a insulating film on side walls through a self-alignment. CONSTITUTION:The surface of a substrate is coated with an SiO2 film 34 as a first insulating film, and a polycrystalline Si film 35 is deposited as a spacer film. A resist pattern 36 for a desired opening is formed, the polycrystalline Si film 35 is removed through etching while using the pattern 36 as a mask, and the SiO2 film 34 is removed selectively to shape a contact hole. The resist pattern 36 is removed, and an SiO2 film 37 is formed as a second insulating film. The SiO2 film 37 is removed through etching, and the SiO2 film 37 is left only on the side wall section of the contact hole. Consequently, a taper is formed to the contact hole so that the diameter of an upper opening is made smaller than that of a bottom. The polycrystalline Si film 35 is removed, and an electrode wiring 38 being in contact with an n<+> layer 33 is formed.

Description

【発明の詳細な説明】 〔発明の技術分野〕 本発明は、半導体装置の製造方法に係わり、特に電気的
接続をとるためのコンタクト孔の形成方法の改良に関す
る。
DETAILED DESCRIPTION OF THE INVENTION [Technical Field of the Invention] The present invention relates to a method for manufacturing a semiconductor device, and more particularly to an improvement in a method for forming contact holes for establishing electrical connections.

〔発明の技術的背景とその問題点〕[Technical background of the invention and its problems]

近年、半導体装置の小形化及び高集積化かはかられ1.
屑布集積回路(IC)、大規模集積回路(LSI )、
さらには超LSIが試作開発されるに至っている4、半
導体装置、特に集積回路の集積密度を向上させるために
は、その回路を構成する素子の寸法を益々小さくしてい
く必要がある。
In recent years, semiconductor devices have become smaller and more highly integrated.1.
Scrap integrated circuit (IC), large-scale integrated circuit (LSI),
Furthermore, prototypes of VLSIs have been developed4, and in order to improve the integration density of semiconductor devices, especially integrated circuits, it is necessary to further reduce the dimensions of the elements that make up the circuits.

このため、微細加工技術の進歩にはめざましいものがあ
り、ステ、プアンドリピート方式の縮小露光、さらには
′電子線露光方式やxH露光方式等の開発が進んでいる
For this reason, there has been remarkable progress in microfabrication technology, and the development of reduction exposure methods such as step and repeat methods, as well as electron beam exposure methods and xH exposure methods, is progressing.

しかしながら、微細なパターンを正確に形成し、これを
半導体素子構造に置き換えていくことは容易ではなく、
種々の解決すべ気問題が残っでいる。−例として加工寸
法の縮小は、その精度及び信頼性の点で問題が多く、特
に微細な開孔パターン(コンタクト孔)の形成はその形
状からいっても最も困難なものとされている。
However, it is not easy to accurately form fine patterns and replace them with semiconductor element structures.
Various problems remain to be resolved. - For example, the reduction of processing dimensions has many problems in terms of accuracy and reliability, and in particular, the formation of fine hole patterns (contact holes) is considered to be the most difficult, considering its shape.

すなわち、線幅1〔μm〕程度の溝パターンを解像可能
な10:1縮小投影型露光装置を用いたとしても、 1〔μrr+)Xl[μm〕の開孔パターンの解像は実
用上困難であり、特に1回の露光Uki積を101mm
〕X10 [:+nm〕  程度とした場合には露光領
域周辺部における解像度の低下が著しく、実用上使用可
能な開孔パターンは15〔μm〕X1.5[:μm〕以
上の寸法のものとなってし1う。甘た、たとえ開孔寸法
が1〔μm〕程度のレジストパターンが形成された場合
にあっても、通常の光学的方法ではこのパターンをチェ
ックすることが困難であり、プロセスのばらつき等をモ
ニタリングすることができない。レジストの最小パター
ンが小さい程この困難さは増大することになり、モニタ
リングのためには解像度及び拡大率の高い走査型電子顕
微鏡等が必要であり、モニタリングに要する費用や時間
等が極めて大きなものとなる。
In other words, even if a 10:1 reduction projection type exposure device capable of resolving a groove pattern with a line width of about 1 [μm] is used, it is practically difficult to resolve an aperture pattern with a line width of 1 [μrr+)Xl [μm]. In particular, the Uki product for one exposure is 101 mm.
]X10[:+nm], the resolution at the periphery of the exposure area is significantly reduced, and the aperture pattern that can be used in practice has dimensions of 15[μm]X1.5[:μm] or more. Let's do it. Unfortunately, even if a resist pattern with an opening size of about 1 [μm] is formed, it is difficult to check this pattern using normal optical methods, and it is necessary to monitor process variations. I can't. This difficulty increases as the minimum pattern of the resist becomes smaller, and monitoring requires a scanning electron microscope with high resolution and magnification, and the cost and time required for monitoring are extremely large. Become.

また、微細開孔を形成するために通常用いられる異方性
ドライエツチングによる開孔は開孔す 上部が急峻で、開孔、方法が小さくなる程、形成した開
孔内へ配線用金属を被着させることが困難となり、開孔
内底面や側壁部で膜厚が薄くなり信頼性が低下する。さ
らに異方性ドライエツチングを用いると、ドライエツチ
ング時(被エツチング物が受けるイオン衝激や、被エツ
チング物表面等に発生する静電気等により絶縁膜にトラ
、ゾやピンホールが発生する。
In addition, the hole formed by anisotropic dry etching, which is commonly used to form fine holes, has a steep upper part, and the smaller the hole is, the more the wiring metal is applied inside the formed hole. It becomes difficult to attach the film, and the film thickness becomes thinner on the inner bottom surface and side wall of the hole, reducing reliability. Furthermore, when anisotropic dry etching is used, holes, cracks, and pinholes are generated in the insulating film due to ion bombardment received by the object to be etched, static electricity generated on the surface of the object, etc. during dry etching.

〔発明の目的〕[Purpose of the invention]

本発明の目的は、電極配線の接続に供される微細なコン
タクト孔を信頼性良く形成することができ、集積回路の
微細化ならびに高集積化に寄与し得る半導体装置の製造
方法を提供することにある。
An object of the present invention is to provide a method for manufacturing a semiconductor device that can reliably form fine contact holes for connecting electrode wiring, and that can contribute to miniaturization and higher integration of integrated circuits. It is in.

〔発明の概要〕[Summary of the invention]

本発明の骨子は、・コンタクト孔を形成すべき絶縁膜上
にまずスペーサ膜を形成し、このスペーサ膜と絶縁膜の
積層膜に所望のコンタクト孔よゆ大径の開孔を形成し、
との開孔の側壁に新たな絶縁膜をセルファラインで形成
することにより、上記開孔を形成する際のパターン寸法
に余裕を持たせることにある。
The main points of the present invention are: - First, a spacer film is formed on an insulating film in which a contact hole is to be formed, and an opening having a diameter as large as the desired contact hole is formed in the laminated film of the spacer film and the insulating film.
By forming a new insulating film on the side wall of the opening with self-alignment, the pattern size when forming the opening has a margin.

すなわち本発明は、半導体基板上に設けられた第1の絶
縁膜に、この絶縁膜下の基板拡散層または下地電極配線
との接続に供されるコンタクト孔を形成するに際し、1
ず第1の絶縁膜上にスペーサ膜を形成し、次にこのスペ
ーサ膜と第1の絶縁膜の積層膜に所望のコンタクト孔よ
り大径の開孔を形成する。次に第2の絶縁膜で全面ケお
おい、これにドライエツチングを施して開孔の側壁にの
み第2の絶縁膜をセルファラインで残置させるようにし
て、所望の径のコンタクト孔を得るものである。
That is, in the present invention, when forming a contact hole in a first insulating film provided on a semiconductor substrate to be connected to a substrate diffusion layer or a base electrode wiring under this insulating film,
First, a spacer film is formed on the first insulating film, and then an opening having a diameter larger than the desired contact hole is formed in the laminated film of the spacer film and the first insulating film. Next, the entire surface is covered with a second insulating film, and this is dry-etched so that the second insulating film remains only on the side walls of the hole in a self-lined manner, thereby obtaining a contact hole of the desired diameter. be.

ここで、前記コンタクト孔の加工精度を向上させるため
には、前記開孔の側壁に第2の絶縁膜を残置せしめる工
程をマスク合わせなしにセルファラインされた状態で行
うことが重要でありJこれには第2の絶縁膜を全面に設
けたのち、異方性ドライエツチング法によりこの絶縁膜
を全面エツチングする方法が望ましい。このとき、第2
の絶縁膜の形成工程としては、微細な開孔にもカバーレ
ッジ良く形成できる減圧気相成長法(LP(:VD法)
等CVD法が好ましい。さらに、微細な開孔を精度良く
形成するためには、レノス)k用いたフォトリングラフ
ィ及び異方性ドライエツチング法等を用いることが望ま
しい。
Here, in order to improve the processing accuracy of the contact hole, it is important to perform the step of leaving the second insulating film on the side wall of the hole in a self-lined state without mask alignment. It is preferable to provide a second insulating film over the entire surface and then to etch this insulating film over the entire surface using an anisotropic dry etching method. At this time, the second
The insulating film is formed using the low pressure vapor deposition method (LP (:VD) method), which can form fine holes with good coverage.
The CVD method is preferred. Furthermore, in order to form minute openings with high precision, it is desirable to use photolithography using Renos, an anisotropic dry etching method, or the like.

また、スペーサ膜は、第−義的には第2の絶縁膜のドラ
イエツチングの際のスト、ハとして用いるので、AI!
 r cr l T1等の金属、金属硅化膜、半導体、
絶縁体膜で、第2の絶縁膜に対する異方性ドライエ、チ
ングのマスクとなるものが好ましく、特に多結晶シリコ
ン膜が好ましい。多結晶シリコン膜を用いた場合、第1
あるいは第2の絶縁膜として用いられるンリコン酸化膜
やシリコン窒化膜に対して異方性ドライエッチにおける
選択比が大きく取れ4るため極めて有効であるだけでは
なく、異方性ドライエッチ時のダメージ層やピンホール
の防止に役立つ。また多結晶シリコンはA1等の金属に
比べて熱的に安定であり高温処理が可能であるためスペ
ーサ膜として有効である。また第2の絶縁膜の形成に低
温での膜形成法、例えばイオンブレーティング法やプラ
ズマCVD法を用いれば、高温でヒロックを発生したり
Siと反応するため配線形成後の高温工程を避けなけれ
ばならないAl配線上のコンタクト孔形成にも適用する
ことが可能である。
Furthermore, since the spacer film is primarily used as a filler during dry etching of the second insulating film, AI!
r cr l Metals such as T1, metal silicide films, semiconductors,
An insulating film that serves as a mask for anisotropic drying and etching with respect to the second insulating film is preferable, and a polycrystalline silicon film is particularly preferable. When using a polycrystalline silicon film, the first
Also, it is not only extremely effective because it has a large selectivity in anisotropic dry etching with respect to silicon oxide film or silicon nitride film used as the second insulating film, but also removes the damage layer during anisotropic dry etching. and helps prevent pinholes. Furthermore, polycrystalline silicon is more thermally stable than metals such as A1 and can be treated at high temperatures, so it is effective as a spacer film. Furthermore, if a low-temperature film formation method such as ion blating or plasma CVD is used to form the second insulating film, high-temperature processes after wiring formation must be avoided because hillocks may occur or react with Si at high temperatures. The present invention can also be applied to forming contact holes on Al wiring, which is required.

〔発明の効果〕〔Effect of the invention〕

本発明によれば、コンタクト孔の形成に際し、パターニ
ングすべき開孔の寸法を所望のコンタクト孔径よりも大
きくすることができる。つまり、パターニング技術にお
いて開孔しなければならない最小寸法を大きくすること
ができるので、パターニング限界或いはそれ以下の微細
なコンタクト孔の形成に極めて有効である。しかも、開
孔の側壁に残存せしめる第2の絶縁膜の幅を、マスク合
わせ工程なしに高精度に制御することができるので、微
細なコンタクト孔を歩留′り良く形成することができる
。このため、半導体装置、特に集積回路の小型化及び高
集積化に有効である。また、開孔を形成するために用い
られるレジストパターンのモニタリングの容易化をはか
り得る。例えば、所望のコンタクト孔径を・1〔μm〕
X1[μm〕 とし、開孔側壁に03〔μm〕幅で第2
の絶縁膜を残すものとすれば、レジメ) /?ダメージ
開孔寸法は16〔μm〕xi、6[μm〕でよいことに
なる。これは光学顕微鏡等によっても容易に確認するこ
とができる寸法である。
According to the present invention, when forming a contact hole, the size of the opening to be patterned can be made larger than the desired contact hole diameter. In other words, it is possible to increase the minimum size that must be formed in the patterning technique, which is extremely effective in forming fine contact holes that are at or below the patterning limit. Moreover, since the width of the second insulating film left on the side wall of the opening can be controlled with high precision without a mask alignment process, fine contact holes can be formed with a high yield. Therefore, it is effective for miniaturizing and highly integrating semiconductor devices, especially integrated circuits. Furthermore, monitoring of the resist pattern used to form the openings can be facilitated. For example, if the desired contact hole diameter is 1 [μm]
X1 [μm], and a second hole with a width of 03 [μm] is placed on the side wall of the opening.
If an insulating film is left behind, the regimen) /? The dimensions of the damaged openings may be 16 [μm] xi, 6 [μm]. This is a dimension that can be easily confirmed using an optical microscope or the like.

更に、スペーサ膜を第1の絶縁膜上に形成し異方性ドラ
イエ、チングのマスクとして使用することにより、第1
の絶縁膜がドライエ、チング中ニ受けるダメージやピン
ホールの増加が減少する。また、スペーサ膜の形状をコ
ントロールすることにより、開孔上部のチー・り一をさ
らになだらかに形成することも可能となる。特に、スペ
ーサ膜を第1の絶縁膜に設けた開孔より若干大きな開孔
を有するように加工すれば、開孔上部での傾斜が更に滑
らかとなる。
Furthermore, by forming a spacer film on the first insulating film and using it as a mask for anisotropic drying and etching, the first
Damage to the insulating film during drying and etching and increase in pinholes are reduced. Furthermore, by controlling the shape of the spacer film, it is also possible to form the chi-ri at the top of the opening even more smoothly. In particular, if the spacer film is processed to have apertures that are slightly larger than the apertures provided in the first insulating film, the slope at the top of the apertures will be smoother.

また、本発明の方法は、コンタクト開孔のまわりにマス
ク合わせズレ等のために設ける重ね余裕を減少させるこ
とが可能である。このことを、81基板上に設けた拡散
層配線にコンタクト開孔を形成する場合を例にとって具
体的に説明する。第1図に示す様に、一般に拡散層11
(線幅DL )上に寸法り。のコンタクト孔12を形成
するためには、コンタクト孔12のところで拡散層幅ヲ
S。Fだけ両側広げなければならない。ここでScFは
S。F−8M+SPとして表わされるものである。SM
は拡散層1ノとコンタクト孔12間のマスク合わせ誤差
を考えた余裕寸法であり、S、は拡散層11とコンタク
ト孔12の加工寸法のバラツキを考えた余裕寸法である
、。
Furthermore, the method of the present invention can reduce the overlap margin provided around the contact hole due to mask misalignment or the like. This will be specifically explained by taking as an example the case where a contact opening is formed in a diffusion layer wiring provided on an 81 substrate. As shown in FIG. 1, generally the diffusion layer 11
(Line width DL) Dimensions above. In order to form the contact hole 12, the width of the diffusion layer at the contact hole 12 must be reduced to S. Both sides must be expanded by F. Here, ScF is S. It is designated as F-8M+SP. SM
S is a margin dimension that takes into account the mask alignment error between the diffusion layer 1 and the contact hole 12, and S is a margin dimension that takes into account variations in the processing dimensions of the diffusion layer 11 and the contact hole 12.

すなわち、ScFだけ拡散層11のエツジをコンタクト
孔12よりも外側に形成しておかないと、SMとSPに
よる誤差のため、コンタクト孔12が拡散層11の外側
のフィールド絶縁膜上に重なってしまいフィールド絶縁
膜がコンタクト孔形成時にエツチング除去されるという
不都合が生じる。第1図では簡単なため、拡散層幅用と
コンタクト寸法DCヲ同じ寸法で示した。−力木発明の
方法を用いたときには、第2図に示す拡散層21のコン
タクト22のところでの広がりS5FはS CF−輻+
SニーTで表わされる。
That is, unless the edge of the diffusion layer 11 is formed outside the contact hole 12 by ScF, the contact hole 12 will overlap the field insulating film outside the diffusion layer 11 due to errors caused by SM and SP. A problem arises in that the field insulating film is etched away when forming the contact hole. In FIG. 1, for simplicity, the width of the diffusion layer and the contact dimension DC are shown as the same dimension. - When using the method of Rikiki's invention, the spread S5F of the diffusion layer 21 at the contact 22 shown in FIG.
It is represented by S knee T.

S4ハ、拡散層21とコンタクト孔22間のマスク合わ
せ誤差を考えた余裕寸法であり、Stは拡散層21とコ
ンタクト孔22の加工寸法のバラツキを考えた余裕寸法
であり、Ti/i、開孔部側壁に残存される第2の絶縁
膜の膜厚である。
S4 C is a margin dimension that takes into consideration the mask alignment error between the diffusion layer 21 and the contact hole 22, St is a margin dimension that takes into consideration the variation in the processing dimensions of the diffusion layer 21 and the contact hole 22, and Ti/i, the opening This is the thickness of the second insulating film remaining on the side wall of the hole.

ここでSMと84、SPと86はそれぞれほぼ等しいと
すると、S5I、はS。FK比べで膜厚T相当分だけ小
さくて良いことになる。すなわち本発明の方法を用いる
ことにより拡散層21のコンタクト孔22のまわりでの
寸法の広がりを片側につきTだけ小さくすることが可能
となる。l/1才説明の簡単化のため、拡散層とコンタ
クト孔の組合わせでの余裕寸法について示したがもちろ
んダート電極や第1屠目A/配線とコンタクト孔の場合
等配線とコンタクト孔との間には同様の効果を得ること
ができる。
Here, assuming that SM and 84 and SP and 86 are almost equal, S5I is S. Compared to FK, it can be made smaller by the equivalent of the film thickness T. That is, by using the method of the present invention, it is possible to reduce the dimensional spread of the diffusion layer 21 around the contact hole 22 by T on each side. To simplify the explanation, we have shown the margin dimensions for the combination of the diffusion layer and the contact hole, but of course, in the case of a dart electrode, first cut A/wiring and contact hole, etc. Similar effects can be obtained between them.

〔発明の実施例〕[Embodiments of the invention]

第3図(a)〜(、)は本発明の実施例としてMO8型
半導体装置における拡散層に対するコンタクト孔を形成
する製造工程を示す断面図である。
FIGS. 3(a) to 3(,) are cross-sectional views showing a manufacturing process for forming a contact hole for a diffusion layer in an MO8 type semiconductor device as an embodiment of the present invention.

まず、比抵抗5〜50 [Dctn 〕のP型シリコン
基板31を用意し、この基板31の素子分離領域−フィ
ールド絶縁膜32′ff:埋め込み形成した後、MO8
FETOり“−ト電極(図示せず)を形成し、ついでソ
ース、ドレイン等の拡散層33をイオン注入法により形
成する1、この後基板表面を第1の絶縁膜、例えばCV
Dによる1μmの5iO7膜34でおおい、次いでス啄
−サ膜として、減圧CVD法により10007の多結晶
シリコン膜35を堆積する(a)。この後所望の開孔用
レジストパターン36を形成し、このレジストlやター
フ36をマスクとして多結晶シリコン膜3りをエツチン
グ除去し、次いで異方性ドライエツチング法によりS 
r 02膜34を選択除去してコンタクト孔を形成する
(b)。この際、図示のようにフィールド絶縁膜32が
1000〜3000 X程度オーバエツチングされても
良い。次にレノストパターン36を除去し、基板表面を
02プラズマ、酸等で処理し洗浄した後、減圧cvp法
により第2の絶縁膜としてS iO2膜37を形成する
(C)。ここでは形成膜厚は3000Xとするが、この
形成膜厚に特に制約はない。次いで基板全面を異方性ド
ライエツチングして5i02膜37をエツチング除去し
、コンタクト孔の側壁部にのみS 102膜37を残置
させる(d)。こうしてコンタクト孔は、上部の開孔径
が底部のそれより小さくなるようにチー/ソが形成され
る。この後多結晶シリコン膜35を除去して、Alの蒸
着、パターニングにより層層33にコンタクトする電極
配線38を形成する(e)。
First, a P-type silicon substrate 31 with a specific resistance of 5 to 50 [Dctn] is prepared, and after the element isolation region-field insulating film 32'ff of this substrate 31 is buried, an MO8
A FETO electrode (not shown) is formed, and then a diffusion layer 33 such as a source and a drain is formed by ion implantation.
It is covered with a 5iO7 film 34 of 1 .mu.m by D.D., and then a 10007 polycrystalline silicon film 35 is deposited as a suction film by low pressure CVD (a). Thereafter, a desired opening resist pattern 36 is formed, and the polycrystalline silicon film 3 is etched away using the resist 1 and the turf 36 as a mask, and then S is etched by an anisotropic dry etching method.
Contact holes are formed by selectively removing the r02 film 34 (b). At this time, the field insulating film 32 may be over-etched by about 1000 to 3000× as shown in the figure. Next, the Lenost pattern 36 is removed, and the substrate surface is treated and cleaned with 02 plasma, acid, etc., and then a SiO2 film 37 is formed as a second insulating film by a low pressure CVP method (C). Here, the thickness of the formed film is 3000X, but there is no particular restriction on the thickness of the formed film. Next, the entire surface of the substrate is anisotropically dry etched to remove the 5i02 film 37, leaving the S102 film 37 only on the sidewalls of the contact holes (d). In this way, the contact hole is formed with a chi/saw shape such that the opening diameter at the top is smaller than that at the bottom. Thereafter, the polycrystalline silicon film 35 is removed, and electrode wiring 38 in contact with the layer 33 is formed by vapor deposition and patterning of Al (e).

こうしてこの実施例によれば、0(1j壁にチー・ゼの
付いた微細なコンタクト孔を歩留りよく形成することが
できる。またこの実施例によれば、ス4−サ膜を設けて
第1の絶縁膜をドライエツチングすることにより、無用
なダメージやピンホールの発生を確実に防止することが
できる。
Thus, according to this embodiment, it is possible to form a fine contact hole with a cheese on the 0(1j wall) with a high yield.Furthermore, according to this embodiment, the first By dry etching the insulating film, unnecessary damage and pinholes can be reliably prevented from occurring.

なお、上記実施例では、笛2の絶縁膜としてLP CV
D法によるS iO2膜を用いたが、本発明はこれに限
られない。例えばプラズマCVD法や光を照射しながら
被膜の形成を行う光CVD法等を用いることにより、よ
り低温で第2の絶縁膜を形成することができ、高温処理
による不都合を避けることができる。これらは特に高温
処理に弱いAl配線等の上にコンタクト孔を形成する場
合に特に有効である。またLPCVD法等により第2の
絶縁膜を形成する前に、拡散層表面を酸化させ酸化膜を
形成した後、第2の絶縁膜を形成することも可能である
In the above embodiment, the insulating film of the whistle 2 is LP CV.
Although the SiO2 film produced by method D was used, the present invention is not limited thereto. For example, by using a plasma CVD method, a photo-CVD method in which a film is formed while irradiating light, etc., the second insulating film can be formed at a lower temperature, and problems caused by high-temperature processing can be avoided. These are particularly effective when forming contact holes on Al wiring, etc., which are particularly susceptible to high temperature processing. It is also possible to oxidize the surface of the diffusion layer to form an oxide film before forming the second insulating film by LPCVD or the like, and then form the second insulating film.

さらに本発明においては第1の絶縁膜を形成する前に基
板表面を熱窒化させ、拡散層表面と素子分離用絶縁膜表
面に窒化された層を形成して分くことにより、後工程で
のS io 2膜のドライエツチング時のストッパーと
して利用す・−ることも可能である。さらに本実施例に
おいてはスベ゛−′す膜として多結晶シリコン膜の場合
を用いて説明したが、本発明はこれに限定されるもので
はなく、kl +Cr等の金属膜等、第2の絶縁膜のエ
ツチング時のストッパーとなるものならば同様の効果が
得られる。特にス波−サ膜として導体膜、を用いると、
異方性ドライエツチング時の局所的なチャージアップ等
を防止し、絶縁膜にダメージやピンホールを発生させる
ことが低減されるため好ましい。
Furthermore, in the present invention, the surface of the substrate is thermally nitrided before forming the first insulating film, and a nitrided layer is formed on the surface of the diffusion layer and the surface of the insulating film for element isolation. It can also be used as a stopper during dry etching of the Sio2 film. Further, in this embodiment, a polycrystalline silicon film is used as the surface film, but the present invention is not limited to this. A similar effect can be obtained if the material serves as a stopper during film etching. In particular, when a conductor film is used as the waver film,
This is preferable because it prevents local charge-up during anisotropic dry etching and reduces damage and pinholes in the insulating film.

第4図(、)〜(d)は本発明の他の実施例として、先
の実施例と同様にMO8型半導体装置における拡散層配
線上にコンタクト孔を形成する製造工程を示したもので
ある1、先の実施例と対応する部分には先の実施例と同
一符号を付しである、まず先の実施例と同様にして、基
板上に第1の絶縁膜としてS ] 02膜34、スペー
サ膜層して多結晶シリコン膜35を形成し、レソストノ
4タ−736を形成した後、多結晶シリコン膜35を異
方性ドライエ、チング法により選択除去する。
FIGS. 4(a) to 4(d) show a manufacturing process for forming a contact hole on a diffusion layer wiring in an MO8 type semiconductor device as another embodiment of the present invention, similar to the previous embodiment. 1. Parts corresponding to those in the previous embodiment are given the same reference numerals as in the previous embodiment. First, in the same manner as in the previous embodiment, a S]02 film 34 is formed as a first insulating film on a substrate. After forming a polycrystalline silicon film 35 as a spacer film and forming a resistor layer 736, the polycrystalline silicon film 35 is selectively removed by an anisotropic drying and etching method.

次に例えばCF4102ガス中の等方性ドライエツチン
グを用いて多結晶シリコン膜35’e 0.2μm後退
させる。後退寸法はパターンサイズにより適肖に設定す
ることができる。次にS iO2膜34を異方性ドライ
エツチング法を用いて選択的に除去して所望の開孔寸法
よりも大きな開孔を形成する(a)。そしてレジストパ
ターン36を除去し、基板表面を洗浄したのち、第2の
絶縁膜としてLPCVD法によりSio2膜37全37
すル(b)。
Next, the polycrystalline silicon film 35'e is retreated by 0.2 μm using, for example, isotropic dry etching in CF4102 gas. The retreat dimension can be set appropriately depending on the pattern size. Next, the SiO2 film 34 is selectively removed using an anisotropic dry etching method to form an opening larger than the desired opening size (a). After removing the resist pattern 36 and cleaning the substrate surface, a Sio2 film 37 is formed as a second insulating film by LPCVD.
Sur (b).

この際図示のように多結晶シリコン膜35が開孔エツジ
部で後退していることにより、形成されたS iO2膜
37表面は先の実施例の場合に比べてさらになだらかな
テーパーを有す。次に、基板表面を異方性ドライエツチ
ングし、S r 02膜37.34fエツチングするこ
とにより、先の実施例に比べてよりなだらかなテーノゼ
ーを有したコンタクト開孔が形成される(c)。この後
電極配線38を形成する(d)。
At this time, as shown in the figure, since the polycrystalline silicon film 35 recedes at the edge of the opening, the surface of the formed SiO2 film 37 has a more gentle taper than in the previous embodiment. Next, the surface of the substrate is anisotropically dry etched to etch the S r 02 film 37.34f, thereby forming a contact hole having a gentler tenorization than in the previous example (c). After this, electrode wiring 38 is formed (d).

ここで多結晶シリコン膜のエツジを後退させる方法とし
て異方性ドライエ、チングにより多結晶シリコン膜をエ
ツチングした後等方性ドライエツチングによるサイドエ
ッチを利用したが、本発明は必ずしもこれに限定される
ものではない。例えば湿式エツチングによりサイドエッ
チすることも可能である。また、第1の絶縁膜であるS
 iO2膜34を異方性ドライエツチングした後にこの
多結晶シリコン膜35のエツジを後退させる工程を入れ
ることも可能である。更にまた多結晶シリコン膜35の
エツチングを異方性ドライエツチングせず等方性エツチ
ングのみで行うことによっても可能である。
Here, as a method for receding the edges of the polycrystalline silicon film, etching the polycrystalline silicon film by anisotropic dry etching and then side etching by isotropic dry etching was used, but the present invention is not necessarily limited to this. It's not a thing. For example, it is also possible to perform side etching by wet etching. In addition, the first insulating film S
It is also possible to include a step of recessing the edges of the polycrystalline silicon film 35 after anisotropic dry etching the iO2 film 34. Furthermore, it is also possible to perform etching of the polycrystalline silicon film 35 only by isotropic etching without anisotropic dry etching.

この実施例によれば、先の実施例の効果に加えて、コン
タクト孔上部のテーノeがよりなだらかになって電極配
線の断切れが確実に防止されるという効果が得られる。
According to this embodiment, in addition to the effects of the previous embodiment, the tenor e above the contact hole becomes more gentle, thereby reliably preventing disconnection of the electrode wiring.

壕だこの実施例では、レジストパターンのエッジエりそ
の下の多結晶シリコン膜エツジを後退させることによっ
て、微細なレジストパターンが確実に形成されているか
否かを明瞭に観察することができるという利点が得られ
る。
This embodiment has the advantage that by receding the edge of the resist pattern and the edge of the polycrystalline silicon film below it, it is possible to clearly observe whether a fine resist pattern has been reliably formed. can get.

【図面の簡単な説明】[Brief explanation of drawings]

第1図および第2図は本発明の詳細な説明するためのコ
ンタクト孔部のパターンを示す図、第3図(a)〜(、
)は本発明の一実施例の製造工程を示す断面図、第4図
(a)〜(d)は他の実施例の製造工程を示す断面図で
ある。 3ノ・・・St基板、32・・・フィールド絶縁膜、3
3・・・拡散層、34・・・S IO2膜(第1の絶縁
膜)、35・・・多結晶シリコン膜(スー=−サ膜)、
36・・・レノストノやターン、37・・・S 102
 膜(第2 )絶縁膜)、38・・・電極配線。 出願人代理人  弁理士 鈴 江 武 彦第1図 第2図 第3図 35 第3図 R 第4図
FIGS. 1 and 2 are diagrams showing patterns of contact holes for detailed explanation of the present invention, and FIGS. 3(a) to (,
) is a sectional view showing the manufacturing process of one embodiment of the present invention, and FIGS. 4(a) to 4(d) are sectional views showing the manufacturing process of another embodiment. 3 No. St substrate, 32 Field insulating film, 3
3... Diffusion layer, 34... S IO2 film (first insulating film), 35... Polycrystalline silicon film (suspension film),
36...Renostonoya turn, 37...S 102
film (second insulating film), 38...electrode wiring. Applicant's agent Patent attorney Takehiko Suzue Figure 1 Figure 2 Figure 3 Figure 35 Figure 3 R Figure 4

Claims (2)

【特許請求の範囲】[Claims] (1)半導体基板上に第1の絶縁膜を形成する工程と、
この第1の絶縁膜上にこれと異なる材料からなるスペー
サ膜を形成する工程と、これらスペーサ膜と第1の絶縁
膜の積層膜にコンタクト孔を形成する工程と、この後全
面に第2の絶縁膜を形成する工程と、この第2の絶縁膜
をドライエツチング法でエツチングして前記コンタクト
孔の側壁にのみ第2の絶縁膜をセルファラインで残置さ
せる工程と、この後前記コンタクト孔を介して基板拡散
層又は第1の絶縁膜下に既に形成されている下地電極配
線にコンタクトする電極配線を形成する工程とを備えた
ことを特徴とする半導体装置の製造方法、。
(1) forming a first insulating film on the semiconductor substrate;
A step of forming a spacer film made of a different material on the first insulating film, a step of forming a contact hole in the laminated film of these spacer films and the first insulating film, and then a step of forming a second insulating film on the entire surface. a step of forming an insulating film, a step of etching the second insulating film using a dry etching method to leave the second insulating film only on the side wall of the contact hole in a self-lined manner, and then etching the second insulating film through the contact hole. 1. A method for manufacturing a semiconductor device, comprising: forming an electrode wiring that contacts a base electrode wiring already formed under a substrate diffusion layer or a first insulating film.
(2)第1の絶縁膜の開孔エツジに対してスペーサ膜の
開孔エツジを後退させるようにした特許請求の範囲第1
項記載の半導体装置の製造方法。
(2) The opening edge of the spacer film is set back with respect to the opening edge of the first insulating film.
A method for manufacturing a semiconductor device according to section 1.
JP5595783A 1983-03-31 1983-03-31 Manufacture of semiconductor device Granted JPS59181614A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5595783A JPS59181614A (en) 1983-03-31 1983-03-31 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5595783A JPS59181614A (en) 1983-03-31 1983-03-31 Manufacture of semiconductor device

Publications (2)

Publication Number Publication Date
JPS59181614A true JPS59181614A (en) 1984-10-16
JPH0481323B2 JPH0481323B2 (en) 1992-12-22

Family

ID=13013553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5595783A Granted JPS59181614A (en) 1983-03-31 1983-03-31 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPS59181614A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03151638A (en) * 1989-11-08 1991-06-27 Nissan Motor Co Ltd Manufacture of semiconductor integrated circuit
CN1077725C (en) * 1995-08-28 2002-01-09 现代电子产业株式会社 Method for forming fine contact hole in semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5772321A (en) * 1980-10-24 1982-05-06 Toshiba Corp Manufacture of seiconductor device
JPS57115862A (en) * 1980-07-08 1982-07-19 Ibm Method of producing semiconductor memory device
JPS57115861A (en) * 1981-01-10 1982-07-19 Mitsubishi Electric Corp Semiconductor memory device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57115862A (en) * 1980-07-08 1982-07-19 Ibm Method of producing semiconductor memory device
JPS5772321A (en) * 1980-10-24 1982-05-06 Toshiba Corp Manufacture of seiconductor device
JPS57115861A (en) * 1981-01-10 1982-07-19 Mitsubishi Electric Corp Semiconductor memory device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03151638A (en) * 1989-11-08 1991-06-27 Nissan Motor Co Ltd Manufacture of semiconductor integrated circuit
CN1077725C (en) * 1995-08-28 2002-01-09 现代电子产业株式会社 Method for forming fine contact hole in semiconductor device

Also Published As

Publication number Publication date
JPH0481323B2 (en) 1992-12-22

Similar Documents

Publication Publication Date Title
JP2001217200A (en) Method for fabricating semiconductor device
KR100482029B1 (en) Method for forming mim capacitor
JPH05326385A (en) Manufacture of semiconductor device
JPS59181614A (en) Manufacture of semiconductor device
US5913133A (en) Method of forming isolation layer for semiconductor device
JP3172998B2 (en) Semiconductor device and manufacturing method thereof
JPH0697288A (en) Manufacture of semiconductor device
KR0139072B1 (en) Method of fabricating semiconductor device having step of forming play in contact hole
JPH10326864A (en) Manufacture of analog semiconductor device
KR100430688B1 (en) Method of forming contact hole of semiconductor device
JPH1012868A (en) Semiconductor and its manufacture
KR100265340B1 (en) Method of fabricating semiconductor device
KR100361527B1 (en) Method for manufacturing semiconductor device
JP2002016134A (en) Manufacturing method of semiconductor device
KR0137566B1 (en) Contact hole fabrication method of semiconductor device
KR100248150B1 (en) Method of forming contact hole in semiconductor device
KR100603509B1 (en) Method for manufacturing semiconductor device
JP2003007819A (en) Method of manufacturing semiconductor device
JPH07263571A (en) Semiconductor device and manufacture of semiconductor device
KR100268803B1 (en) Method of forming conducting layer in semiconductor device
KR20030002942A (en) Method for forming metal interconnection in semiconductor device
KR100333652B1 (en) A method for forming contact hole of semiconductor device
KR20030049184A (en) Method for fabricating semiconductor device
JPH1197440A (en) Manufacture of semiconductor device
JPH07135249A (en) Semiconductor device and manufacture thereof