JPH118235A - 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス - Google Patents

高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス

Info

Publication number
JPH118235A
JPH118235A JP10126857A JP12685798A JPH118235A JP H118235 A JPH118235 A JP H118235A JP 10126857 A JP10126857 A JP 10126857A JP 12685798 A JP12685798 A JP 12685798A JP H118235 A JPH118235 A JP H118235A
Authority
JP
Japan
Prior art keywords
chamber
gas
film
source
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10126857A
Other languages
English (en)
Other versions
JP4323583B2 (ja
Inventor
Dian Sugiarto
スギアルト ディアン
Judy H Huang
エイチ. ウォング ジュディー
David Cheung
チュング デイヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH118235A publication Critical patent/JPH118235A/ja
Application granted granted Critical
Publication of JP4323583B2 publication Critical patent/JP4323583B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31625Deposition of boron or phosphorus doped silicon oxide, e.g. BSG, PSG, BPSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 高堆積速度のハロゲンドープトシリコン酸化
物層を堆積させる好適なプロセスを提供する。 【解決手段】 最初にプロセスガスをチャンバ内に導入
することによって、基板上にシリコン酸化物膜を堆積さ
せる。このプロセスガスは、シリコンのガス状ソース
(シラン等)、フッ素のガス状ソース(SiF4等)、
酸素のガス状ソース(亜酸化窒素等)、および窒素のガ
ス状ソース(N2等)を含んでいる。RF電力成分を印
加することによって、プロセスガスからプラズマを形成
する。堆積は、少なくとも約1.5μm/分の速度で行
なわれる。結果として得られるFSG膜は安定であり、
低い誘電率を有している。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ウェーハ処理中に
おけるハロゲンドープト誘電体層の堆積に関し、特に、
低い誘電率と高い膜安定性を有する高堆積速度のハロゲ
ンドープトシリコン酸化物層を形成する方法および装置
に関する。
【0002】
【従来の技術】現代の半導体デバイスの製造における主
要なステップの一つは、ガスの化学反応による半導体基
板上への薄膜の形成である。このような堆積プロセス
は、化学的気相堆積または「CVD」と呼ばれる。従来
の熱CVDプロセスでは、反応性ガスが基板表面に供給
され、熱誘導化学反応がその基板表面に所望の膜を作り
出す。熱CVDプロセスのなかには高温で動作するもの
もあるが、このような高温は、金属層を有するデバイス
構造にダメージを与える場合がある。
【0003】比較的低温で金属層の上に層を堆積させる
別のCVD法には、プラズマ促進CVD(PECVD)
技術が含まれる。プラズマCVD技術は、基板表面付近
の反応ゾーンに高周波(RF)エネルギーを付加するこ
とにより反応性ガスの励起および/または解離を促進
し、これによってプラズマを発生させる。プラズマ中の
核種の高い反応性が、化学反応を起こすために必要なエ
ネルギーを減少させるので、上記のようなCVDプロセ
スに必要な温度も低くなる。PECVDプロセスの比較
的低い温度は、このようなプロセスを、堆積金属層上へ
の絶縁層の形成や他の絶縁層の形成にとって理想的なも
のとする。
【0004】半導体デバイスの幾何学形状の寸法は、こ
のようなデバイスが数十年前に最初に導入されて以来、
劇的に減少した。それ以来、集積回路は、概ね2年/ハ
ーフサイズの法則(しばしば「ムーアの法則」と呼ばれ
る)に従ってきた。この法則は、チップに実装されるデ
バイスの数が2年毎に2倍になることを意味する。今日
のウェーハ製造プラントは、日常的に0.5μm図形
(feature)や0.35μm図形さえをも有する集積回
路を生産しており、将来のプラントは、いずれ更に小さ
い寸法形状を有するデバイスを生産することになるだろ
う。
【0005】デバイスが小型化し、集積密度が増加する
につれて、以前は業界で重要視されていなかった問題が
関心を集めている。3、4またはそれ以上の金属層が半
導体上に形成される多層メタル技術(multilevel metal
technology)の出現によって、金属層の間に堆積する
絶縁層の誘電率を低くすることが半導体メーカの一つの
目標となっている。このような層は、しばしばメタル間
誘電体(IMD)層と呼ばれる。相互接続メタライゼー
ション(interconnect metallization)のRC時間遅延
を低減し、異なるメタライゼーションレベル間のクロス
トークを防止し、デバイスの電力消費を削減するために
は、低誘電率の膜がIMD層にとって特に望ましい。
【0006】低い誘電率を得るために多くの手法が提案
されている。比較的有望な解決法の一つは、フッ素その
他のハロゲン元素、例えば塩素や臭素、をシリコン酸化
物層に取り入れることである。シリコン酸化物膜用の好
適なハロゲンドーパントであるフッ素は、SiOF網全
体の分極率を減少させる電気陰性原子であることから、
シリコン酸化物膜の誘電率を低くすると考えられてい
る。フッ素ドープトシリコン酸化物膜は、フッ化ケイ素
ガラス(FSG)膜とも呼ばれる。
【0007】FSG膜は、CF4、C26、NF3等のフ
ッ素ソースを用いて堆積させることができる。FSG膜
を堆積させる一つの方法は、フッ素ソースとしての4フ
ッ化ケイ素(SiF4)、シラン(SiH4)、およびO
2という前駆物質を含むプロセスガスからプラズマを形
成する。SiF4はFSG膜用の特に効率の良いフッ素
ソースと考えられている。その理由は、ガス分子中のシ
リコン原子に結合した4個のフッ素原子が、所与の流量
に対して他のフッ素ソースよりも高い割合のフッ素を堆
積チャンバ内に供給するからである。更に、SiF
4は、プラズマ反応に利用可能なシリコンに結合したフ
ッ素を他のフッ素ソースよりも多く有している。FSG
膜用のフッ素ソースとしてのSiF4の使用は、199
5年10月2日出願の米国特許出願第08/538,696号「よ
り安定性の高いFドープト膜を堆積させるためのSiF
4の使用」、および1996年3月15日出願の米国特
許出願第08/616,707号「ハロゲンドープトシリコン酸化
物膜の膜安定性を高める方法および装置」に、より詳細
に記載されている。特許出願第08/538,696号および第08
/616,707号は、本発明の譲受人であるアプライドマテリ
アルズ社(Applied Materials Inc.)に譲渡されてい
る。
【0008】
【発明が解決しようとする課題】このように、メーカ
は、フッ素を種々の誘電体層、特にメタル間誘電体層、
に含めることを望んでいる。FSG層の堆積において直
面する一つの問題点は、膜の安定性である。一部のFS
G膜の格子構造中に存在する結合の緩いフッ素原子は、
水分を吸収する傾向を持った膜をもたらす。吸収された
水分は膜の誘電率を増加させ、また、膜がアニーリング
プロセス等の熱プロセスにさらされると更に問題を引き
起こすことがある。
【0009】熱プロセスの高温は、吸収された水分と結
合の緩いフッ素原子とを、金属やその他の後から堆積さ
れた層を通して酸化物層から移動させる場合がある。こ
のような分子および原子の離脱は、アウトガス(outgass
ing)と呼ばれる。このようなアウトガスは、膜が特定の
温度に加熱されたときに膜から離れるフッ素、フッ化水
素酸(HF)またはH2Oを検出することによって測定
することができる。FSG膜堆積後の基板処理中に使用
される少なくとも最高の温度まで(例えば、一部の例で
は450℃まで)の温度でアウトガスがほとんどまたは
全く起こらないことが望ましい。
【0010】一般に、膜の誘電率は膜に取り込まれたフ
ッ素の量に関係する。膜のフッ素含有量の増加は、一般
に膜の誘電率を低下させる。しかしながら、高いフッ素
含有量(例えば、約7または8原子パーセント[at.
%]のフッ素)を有するFSG膜は、低いフッ素含有量
(例えば7または8at.%フッ素よりも低い含有量)
の膜よりも水分吸収とアウトガスの問題を抱えやすい。
従って、新生テクノロジーと歩調を合わせるために、低
誘電率を有する酸化物膜の開発が必要である。
【0011】更に、ハロゲンドープト酸化物膜、特に高
フッ素含有FSG膜、の安定性を向上することによって
膜の水分吸収とアウトガスを抑える方法も要望されてい
る。
【0012】メーカの別の関心は、プロセスのスループ
ットである。高いスループットを有するためには、プロ
セスの堆積速度が高くなければならない。従って、膜
は、安定性が高いことに加えて、堆積効率を向上するた
めに高い堆積速度を有する必要がある。
【0013】
【課題を解決するための手段】本発明は、高いハロゲン
ドープレベルでも低い誘電率と優れた安定性を有するハ
ロゲンドープト層を提供する。本発明はまた、このよう
な層を高い堆積速度で形成する方法および装置を提供す
る。膜の安定性は、シリコンソースおよび酸素ソースと
共に窒素ソースガスおよびハロゲンソースガスを堆積チ
ャンバに導入することによって改善される。この後、こ
れらのガスからプラズマが形成され、チャンバ内に配置
された基板上にハロゲンドープト層が堆積される。窒素
ソースを導入することにより、層内の自由フッ素または
結合の緩いフッ素の量が減少し、それによって層の安定
性が高まるものと思われる。
【0014】FSG膜は、本発明の方法の好適な態様に
従って堆積される。この態様では、窒素ソースガスがN
2であり、ハロゲンソースガスがSiF4である。酸素ソ
ースはN2Oとすることができ、シリコンソースはSi
4である。SiF4に対するN2の比は約3〜20であ
り、SiH4に対するN2の比は約3〜10である。ま
た、N2Oに対するN2の比は約0.5〜4である。この
態様によって堆積されるFSG膜は、二次イオン質量分
析法(SIMS)を用いて測定される少なくとも16a
t.%までのフッ素を取り込むことができる。さらに、
この膜は、少なくとも475℃および500℃までの温
度にそれぞれ加熱されたときに、層からのフッ素または
HFのアウトガスをほとんど示さない。本発明の方法の
より好適な態様では、堆積ステップの完了間近に、Si
4の流れを数秒停止させてから、他のプロセスガスの
流れを停止させる。この手順を用いることで、膜中の結
合の緩いフッ素を更に低減することができ、少なくとも
16at.%までのフッ素を有し、少なくとも700℃
までの温度に加熱されたときに層からのフッ素、HF、
またはH2Oのアウトガスをほとんど示さないFSG膜
の堆積が可能になる。
【0015】本発明の上記およびその他の形態を、その
利点と特徴の多くと共に、以下の記載および添付の図面
においてより詳細に説明する。
【0016】
【発明の実施の形態】
I.序論 本発明は、低い誘電率と優れた膜安定性を有する高堆積
速度絶縁層を提供する。本発明は、このような絶縁層を
形成する方法および装置も提供する。優れた安定性と低
い誘電率という特性は窒素ソース、例えばN2、をプロ
セスガスに導入することによって得られる。
【0017】前述のように、フッ素含有量の高いFSG
膜は、一般に、より低いフッ素含有量の同様の膜に比べ
て低い誘電率を有する。SiF4は特に効果的なフッ素
ソースである。その理由は、SiF4がシリコン原子に
結合された4個のフッ素原子を有しており、これによ
り、所与の流量に対して他のフッ素ソースよりも高い割
合のフッ素を堆積チャンバに供給するからである。しか
しながら、プラズマ中の自由フッ素が多すぎると、膜の
安定性に悪影響を与える場合がある。これは、過剰な自
由フッ素が、通常、膜中にSiF2結合を形成するよう
に反応し、このSiF2結合が水を吸収してHFおよび
シラノール(SiOH)を形成する傾向があるからであ
る。これは、HFが膜から離脱することによって膜が後
から剥離したりクラックを生じたりする可能性があるこ
とから、望ましくない。さらに、SiOHは膜中に残る
が、膜が湿度応力や温度応力を受けると、このSiOH
が一定の期間にわたって膜の誘電率を低下させる。
【0018】従って、膜中のSiF2の形成を防ぐため
にプラズマから自由フッ素を除去する方法が、膜安定性
を確保するために重要である。窒素ガスは、堆積中に自
由フッ素または結合の緩いフッ素原子と反応してNF2
またはNF3を形成すると考えられる。このNF2やNF
3は、堆積中または堆積後にチャンバから容易に除去す
ることができる揮発性ガスである。従って、このような
自由フッ素原子または結合の緩いフッ素原子が、成長膜
を形成する様々な反応に関与しないようになる。これが
結果的に、FSG層に取り込まれる結合の緩いフッ素原
子を少なくする。FSG層中の結合の緩いフッ素原子が
少なくなるので、後続の処理段階でのアウトガスも低減
され、それによって膜安定性が確保される。
【0019】さらに、SiF4は、高堆積速度プロセス
に特に適したフッ素ソースでもある。一般に、CF4
26、およびNF3 FSG膜のフッ素含有量の増加
は、膜が堆積されている間、膜のエッチングに大きな相
関を有している。これに対して、SiF4 FSG膜のフ
ッ素含有量の増加は膜の誘電率を改善するが、増加した
フッ素含有量によって行われる膜の追加エッチングは最
小限のものである。従って、フッ素ソースとしてSiF
4を使用すると、堆積効率が更に高まる。
【0020】好ましい実施形態では、プロセスガスは、
SiF4によって与えられたフッ素、SiH4によって与
えられたケイ素、N2Oによって与えられた酸素、およ
びN2によって与えられた窒素を含んでいる。膜は、約
1.5〜1.8μm/分の速度で堆積される。この膜の
誘電率は、金属−絶縁膜−半導体(MIS)構造中の1
MHzのC−V曲線で測定した場合、約3.3〜3.5
である。この膜は、約7%のSiF(SiF+SiO結
合に対するSiF結合のピーク高さ比のフーリエ変換赤
外(FTIR)分光法で測定した場合)を含んでおり、
SIMSを用いて測定される少なくとも16at.%ま
でのフッ素を取り込むことができる。最後に、本発明の
方法の好適な実施形態に従って堆積が行なわれる場合、
この膜は、少なくとも700℃までの温度に加熱された
ときに、層からのフッ素、HF、またはH2Oのアウト
ガスをほとんど示さない。
【0021】II.好適なCVDシステム 本発明の方法が実行される一つの適切なCVD装置を図
1および図2に示す。これらの図は、CVDシステム1
0の縦断面図であり、このCVDシステム10は、チャ
ンバ壁15aおよびチャンバ蓋アセンブリ15bを含む
真空チャンバまたは処理チャンバ15を有している。チ
ャンバ壁15aおよびチャンバ蓋アセンブリ15bは、
図3および図4に分解斜視図で示されている。
【0022】CVDシステム10は、プロセスチャンバ
内の中央に位置する加熱ペデスタル12上に載置された
基板(図示せず)へプロセスガスを拡散させるガス分配
マニホールド11を含んでいる。処理中、基板(例え
ば、半導体ウェーハ)は、ペデスタル12の平坦な(ま
たは、わずかに凸状の)表面12a上に配置される。ペ
デスタルは、下方の取入れ/取出し位置(図1に示す)
とマニホールド11に隣接する上方の処理位置(図1に
破線14で示すとともに図2にも示す)との間で制御自
在に移動することができる。センタボード(図示せず)
は、ウェーハの位置に関する情報を提供するセンサを含
んでいる。
【0023】堆積ガスおよびキャリヤガスは、従来の平
坦な円形ガス分配面板13aの穿孔穴13b(図4)を
通ってチャンバ15内に導入される。より具体的に述べ
ると、堆積プロセスガスは入口マニホールド11を通り
(図2において矢印40で示す)、従来の孔明きブロッ
カプレート(blocker plate)42を通った後、ガス分
配面板13aの貫通孔13bを通ってチャンバに流入す
る。
【0024】マニホールドに到達する前に、堆積ガスお
よびキャリヤガスは、ガス供給ライン8(図2)を通っ
てガスソース7から混合装置9に送り込まれる。これら
のガスは、混合装置9で混合された後、マニホールド1
1に送られる。一般に、各プロセスガスに対する供給ラ
インは、(i)チャンバ内へのプロセスガスの流れを自
動または手動で遮断するために使用できる数個の安全遮
断バルブ(図示せず)、および(ii)供給ラインを通る
ガスの流れを測定するマスフローコントローラ(これも
図示しない)を含んでいる。有毒ガスをプロセスで使用
するときは、数個の安全遮断バルブが従来の配置で各ガ
ス供給ライン上に配置される。
【0025】CVDシステム10で実行される堆積プロ
セスは、熱プロセスまたはプラズマ促進プロセスのいず
れであってもよい。プラズマ促進プロセスでは、プロセ
スガス混合気を励起して面板13aとペデスタルとの間
の円筒領域内にプラズマを形成するように、RF電源4
4がガス分配面板13aとペデスタルとの間に電力を供
給する。(この円筒領域を本明細書では「反応領域」と
呼ぶ。)プラズマの構成分が反応して、ペデスタル12
上に支持される半導体ウェーハの表面に所望の膜を堆積
させる。RF電源44は、13.56MHzの高RF周
波数(RF1)と360KHzの低RF周波数(RF
2)とで電力を通常供給する混合周波数RF電源とする
ことができる。この他に、電源は、13.56MHzで
高周波RF電力だけを供給してもよいし、360KHz
で低周波RF電力だけを供給してもよい。RF電源44
を用いると、真空チャンバ15に導入された反応種の分
解が促進される。熱プロセスではRF電源44は使用さ
れず、プロセスガス混合気が熱的に反応して、ペデスタ
ル12上に支持された半導体ウェーハの表面に所望の膜
を堆積させる。このペデスタルは、反応用の熱エネルギ
ーを与えるために抵抗加熱される。
【0026】プラズマ堆積プロセス中、プラズマは、排
気通路23および遮断バルブ24を囲むチャンバ本体1
5aの壁を含めて、プロセスチャンバ10の全体を加熱
する。プラズマが発生していないとき、あるいは熱堆積
プロセスの間は、高温の液体がプロセスチャンバの壁1
5aを循環してチャンバを高温に維持する。チャンバ壁
15aの加熱に使用される流体には、通常の流体タイ
プ、すなわち水ベースのエチレングリコールやオイルベ
ースの熱伝導流体が含まれる。この加熱は、望ましくな
い反応生成物の凝縮を有益に削減または除去するととも
に、冷たい真空通路の壁に凝縮してガス流のない時間帯
に処理チャンバ内に戻った場合にプロセスを汚染するお
それのあるプロセスガスの揮発性生成物やその他の汚染
物質の除去を改善する。
【0027】層中に堆積しないガス混合気の残り(反応
生成物を含む)は、真空ポンプ(図示せず)によってチ
ャンバから排気される。具体的には、ガスは、反応領域
を囲む環状スロット形オリフィス16を介して排気さ
れ、環状排気プレナム17に入る。環状スロット16お
よびプレナム17は、チャンバの円筒側壁15a(壁上
の上部誘電体ライニング19を含む)の上部と円形チャ
ンバ蓋20の底部との間の間隙によって画成されてい
る。スロットオリフィス16およびプレナム17の36
0度円対称性および均一性は、ウェーハ上のプロセスガ
スの均一な流れを達成して均一な膜をウェーハ上に堆積
させるために重要である。
【0028】排気プレナム17からガスは、排気プレナ
ム17の側方延在部分21の下を流れ、覗き窓(図示せ
ず)を通り越して、下方に延びるガス通路23を通り、
真空遮断バルブ24(その本体は下部チャンバ壁15a
と一体化)を過ぎて、排出口25に入る。この排出口2
5は、フォアライン(図示せず)を介して外部真空ポン
プ(図示せず)に接続している。
【0029】ペデスタル12(好ましくはアルミニウ
ム、セラミック、またはこれらの組合せ)のウェーハ支
持皿(platter)は、平行な同心円の形で完全な2回巻
きをなすように構成された単ループ埋込みヒータ素子を
用いて抵抗加熱される。ヒータ素子の外側部分は、支持
皿の外周に隣接して延びており、内側部分は、より小さ
い半径を持つ同心円の経路上を延びている。ヒータ素子
への配線は、ペデスタル12のステムを貫通している。
【0030】通常、チャンバライニング、ガス入口マニ
ホールド面板、およびその他の各種のリアクタハードウ
ェアのいずれかまたは全部は、アルミニウム、陽極酸化
アルミニウム、セラミック等の材料から作られる。この
ようなCVD装置の例は、Zhaoらに与えられた米国特許
第5,558,717号「CVD処理チャンバ」に開示されてい
る。この特許第5,558,717号は、本発明の譲受人である
アプライドマテリアルズ社(Applied Materials, In
c.)に譲渡されており、その全体が参照文献として本明
細書に組み込まれている。
【0031】ウェーハがチャンバ10の側面の取入れ/
取出し開口26を通じてロボットブレード(図示せず)
によってチャンバ本体に搬入および搬出されるとき、リ
フト機構・モータ32(図1)は、ヒータペデスタルア
センブリ12とそのウェーハリフトピン12bを昇降さ
せる。モータ32は、処理位置14と下方のウェーハロ
ーディング位置との間でペデスタル12を昇降させる。
モータ、供給ライン8に接続されたバルブまたは流量コ
ントローラ、ガス配送システム、スロットルバルブ、R
F電源44、並びにチャンバおよび基板加熱システム
は、すべて制御ライン36を介してシステムコントロー
ラ34(図2)によって制御される。この制御ライン3
6は、一部のみが図示されている。コントローラ34
は、コントローラ34の制御下で適切なモータによって
動かされるスロットルバルブやペデスタル等の可動機械
アセンブリの位置を、光学センサからのフィードバック
を頼りにして求める。
【0032】好ましい実施形態では、システムコントロ
ーラは、ハードディスクドライブ(記憶装置38)、フ
ロッピーディスクドライブ、およびプロセッサ37を含
んでいる。このプロセッサは、シングルボードコンピュ
ータ(SBC)、アナログおよびディジタルの入出力ボ
ード、インタフェースボード、およびステッパモータコ
ントローラボードを含んでいる。CVDシステム10の
各種のパーツは、ボード、カードケージ、およびコネク
タの寸法と種類を規定するVersa Modular European(V
ME)規格に適合している。また、このVME規格は、
バス構造を16ビットデータバスと24ビットアドレス
バスを有するものとして規定する。
【0033】システムコントローラ34は、CVDマシ
ンの作業のすべてを制御する。システムコントローラ
は、システム制御ソフトウェアを実行する。このソフト
ウェアは、記憶装置38等のコンピュータ読取り可能媒
体に格納されたコンピュータプログラムである。記憶装
置38はハードディスクドライブが望ましいが、他の種
類の記憶装置であってもよい。このコンピュータプログ
ラムは、タイミング、ガスの混合、チャンバ圧力、チャ
ンバ温度、RF電力レベル、ペデスタル位置、およびプ
ロセスの他のパラメータを指示する命令セットを含んで
いる。他の記憶装置、例えばフロッピーディスクや他の
適切なドライブ、に格納された他のコンピュータプログ
ラムを用いてコントローラ34を操作してもよい。
【0034】ユーザとコントローラ34とのインタフェ
ースは、図5に示されるように、CRTモニタ50aお
よびライトペン50bを介している。図5は、基板処理
システム内のシステムモニタおよびCVDシステム10
の概略図である。ここで、この基板処理システムは、一
つ以上のチャンバを含んでいてもよい。好ましい実施形
態では、二つのモニタ50aが使用される。一方のモニ
タは、オペレータ用にクリーンルーム壁に設置され、他
方のモニタは、サービス技術者用に壁の後ろに設置され
ている。これらのモニタ50aは同じ情報を同時に表示
するが、一本のライトペン50bしか使用可能とならな
い。ライトペン50bの先端の光センサは、CRTディ
スプレイによって放射される光を検出する。特定の画面
や機能を選択する場合、オペレータは、表示画面の指定
領域にタッチして、ペン50bのボタンを押す。タッチ
された領域がそのハイライト色を変えるか、あるいは新
しいメニューまたは画面が表示され、ライトペンと表示
画面との間のコミュニケーションを確認する。ユーザが
コントローラ34と交信できるようにするために、他の
装置、例えばキーボード、マウス、その他のポインティ
ングデバイスまたはコミュニケーションデバイス、をラ
イトペン50bの代わりに、またはライトペンに加えて
使用してもよい。
【0035】膜を堆積させるプロセスは、コントローラ
34によって実行されるコンピュータプログラムプロダ
クトを用いて実施することができる。このコンピュータ
プログラムコードは、任意の従来のコンピュータ読取り
可能プログラム言語、例えば68000アセンブリ言
語、C、C++、Pascal、Fortranその他
で書くことができる。適当なプログラムコードは、従来
のテキストエディタを用いて単一のファイルまたは複数
のファイルに入力され、コンピュータ使用可能媒体、例
えばコンピュータのメモリシステム、に格納または組み
込まれる。この入力済コードテキストが高水準言語の場
合、コードがコンパイルされ、その結果得られたコンパ
イラコードが、プリコンパイルされたWindows
(商標)ライブラリルーチンの目的コードにリンクされ
る。このリンクされたコンパイル済目的コードを実行す
るには、システムユーザがその目的コードを呼び出し、
コンピュータシステムがそのコードをメモリにロードす
るようにする。次に、CPUがコードを読み取って実行
し、プログラム中で識別されたタスクを実行する。
【0036】図6は、特定の実施形態に係るシステム制
御ソフトウェア、すなわちコンピュータプログラム7
0、の階層制御構造のブロック図である。ユーザは、ラ
イトペンインタフェースを使用し、CRTモニタに表示
されたメニューや画面に応じてプロセスセット番号とプ
ロセスチャンバ番号をプロセスセレクタサブルーチン7
3に入力する。このプロセスセットは、特定のプロセス
を実行するために必要なプロセスパラメータの所定のセ
ットであり、予め定められたセット番号によって識別さ
れる。プロセスセレクタサブルーチン73は、(i)所
望のプロセスチャンバ、および(ii)所望のプロセスを
実行するためにこのプロセスチャンバを動作させるのに
必要な所望のプロセスパラメータセット、を識別する。
特定のプロセスを実行するためのプロセスパラメータ
は、プロセスの条件、例えばプロセスガスの組成と流
量、温度、圧力、プラズマ条件(RF電力レベルや低周
波RF周波数等)、冷却ガス圧力、チャンバ壁温度、に
関するものである。これらのパラメータは、レシピの形
でユーザに与えられ、ライトペン/CRTモニタインタ
フェースを用いて入力される。
【0037】プロセスを監視するための信号は、システ
ムコントローラのアナログおよびディジタル入力ボード
によって供給され、プロセスを制御するための信号は、
CVDシステム10のアナログおよびディジタル出力ボ
ード上に出力される。
【0038】プロセスシーケンササブルーチン75は、
識別されたプロセスチャンバとプロセスパラメータセッ
トをプロセスセレクタサブルーチン73から受け取ると
ともに種々のプロセスチャンバの動作を制御するプログ
ラムコードを備えている。複数のユーザがプロセスセッ
ト番号およびプロセスチャンバ番号を入力することがで
き、あるいは1ユーザが複数のプロセスセット番号およ
びプロセスチャンバ番号を入力することができるので、
シーケンササブルーチン75は、選択されたプロセスを
所望のシーケンスにスケジュールするように動作する。
【0039】シーケンササブルーチン75は、(i)プ
ロセスチャンバの動作を監視してチャンバが使用されて
いるかどうかを判定するステップと、(ii)使用中のチ
ャンバで実行されているプロセスが何であるかを判定す
るステップと、(iii)プロセスチャンバの有用性およ
び実行されるプロセスのタイプに基づいて所望のプロセ
スを実行するステップと、を実行するプログラムコード
を含むことが望ましい。プロセスチャンバを監視する従
来の方法、例えばポーリング(polling)、を使用する
ことができる。どのプロセスを実行すべきかをスケジュ
ールする場合、シーケンササブルーチン75は、選択さ
れたプロセスに対する所望のプロセス条件と比較した使
用中のプロセスチャンバの現在の条件、またはユーザに
よって入力された各特定リクエストの「年令(ag
e)」、またはスケジューリング優先度を決定するため
にシステムプログラマが含めることを望む他の任意の関
連要因を考慮する。
【0040】シーケンササブルーチン75が、プロセス
チャンバとプロセスセットのどの組合せを次に実行する
かを決定すると、シーケンササブルーチン75は、特定
のプロセスセットパラメータをチャンバマネージャサブ
ルーチン77a〜cに渡すことにより、そのプロセスセ
ットの実行を開始する。ここで、チャンバマネージャサ
ブルーチンは、シーケンササブルーチン75によって決
定されたプロセスセットに従ってプロセスチャンバ15
内の複数の処理タスクを制御する。例えば、チャンバマ
ネージャサブルーチン77aは、プロセスチャンバ15
内のスパッタリングおよびCVDプロセス操作を制御す
るためのプログラムコードを備えている。また、チャン
バマネージャサブルーチン77は、選択されたプロセス
セットを実行するために必要なチャンバコンポーネント
の動作を制御する種々のチャンバコンポーネントサブル
ーチンの実行を制御する。
【0041】チャンバコンポーネントサブルーチンの例
は、基板位置決めサブルーチン80、プロセスガス制御
サブルーチン83、圧力制御サブルーチン85、ヒータ
制御サブルーチン87、およびプラズマ制御サブルーチ
ン90である。プロセスチャンバ15内で実行されるプ
ロセスが何であるかに応じて他のチャンバ制御サブルー
チンを含めることができることは、当業者であれば容易
に理解できるだろう。動作中、チャンバマネージャサブ
ルーチン77aは、実行されている特定のプロセスセッ
トに従って、プロセスコンポーネントサブルーチンを選
択的にスケジュールするか、あるいは呼び出す。チャン
バマネージャサブルーチン77aは、シーケンササブル
ーチン75がどのプロセスチャンバ15とプロセスセッ
トを次に実行するかをスケジュールするのとほぼ同様
に、プロセスコンポーネントサブルーチンをスケジュー
ルする。通常、チャンバマネージャサブルーチン77a
は、種々のチャンバコンポーネントを監視するステップ
と、どのコンポーネントを動作させる必要があるかを、
実行すべきプロセスセットに対するプロセスパラメータ
に基づいて決定するステップと、この監視ステップおよ
び決定ステップに応じてチャンバコンポーネントサブル
ーチンを実行させるステップと、を含んでいる。
【0042】ここで、あるチャンバコンポーネントサブ
ルーチンの動作を図6を参照して説明する。基板位置決
めサブルーチン80は、基板をペデスタル12上にロー
ドするために使用され、さらに任意選択的に基板をチャ
ンバ15内の所望の高さに持ち上げて基板とガス分配マ
ニホールド11との間の間隔を制御するために使用され
るチャンバコンポーネントを制御するプログラムコード
を有している。基板がプロセスチャンバ15内に搬入さ
れると、基板を受け取るためにペデスタル12が下げら
れた後、ペデスタル12がチャンバ内の所望の高さに上
げられ、CVDプロセスの間、基板をガス分配マニホー
ルドから第1の距離または間隔に保つ。動作中、基板位
置決めサブルーチン80は、チャンバマネージャサブル
ーチン77aから転送された支持高さに関するプロセス
セットパラメータに応じてペデスタル12の動きを制御
する。
【0043】プロセスガス制御サブルーチン83は、プ
ロセスガスの組成と流量を制御するためのプログラムコ
ードを有している。プロセスガス制御サブルーチン83
は、安全遮断バルブの開閉位置を制御すると共に、所望
のガス流量を得るためにマスフローコントローラを上下
に調節する。プロセスガス制御サブルーチン83は、す
べてのチャンバコンポーネントサブルーチンと同様にチ
ャンバマネージャサブルーチンによって呼び出され、チ
ャンバマネージャサブルーチンから所望のガス流量に関
するプロセスパラメータを受け取る。通常、プロセスガ
ス制御サブルーチン83は、ガス供給ラインを開いて、
次の(i)〜(iii)を繰り返すことにより動作する。す
なわち、(i)必要なマスフローコントローラを読み取
り、(ii)その読取り値を、チャンバマネージャサブル
ーチン77aから受け取った所望の流量と比較し、(ii
i)必要に応じてガス供給ラインの流量を調節する。更
に、プロセスガス制御サブルーチン83は、ガス流量を
不安全な流量に対して監視するステップと、不安全な状
態が検出されたときに安全遮断バルブを作動させるステ
ップと、を含んでいる。
【0044】一部のプロセスでは、ヘリウムやアルゴン
等の不活性ガスがチャンバ15に流入させられ、反応性
プロセスガスが導入される前にチャンバ内の圧力を安定
させる。このようなプロセスでは、プロセスガス制御サ
ブルーチン83は、チャンバ内の圧力の安定化に必要な
時間にわたって不活性ガスをチャンバ15に流入させる
ステップを含むようにプログラムされており、この流入
の後で上記の各ステップが実行される。更に、液体前駆
物質、例えばテトラエチルオルソシラン(“TEO
S”)、からプロセスガスを気化するときは、プロセス
ガス制御サブルーチン83は、ヘリウム等の配送ガスを
バブラアセンブリ内の液体前駆物質を通してバブリング
するステップ、またはヘリウムや窒素等のキャリヤガス
を液体噴射システムに導入するステップを含むように書
かれる。バブラがこの種のプロセスに使用されるとき
は、プロセスガス制御サブルーチン83は、所望のプロ
セスガス流量を得るために、配送ガスの流れ、バブラ内
の圧力、およびバブラ温度を調整する。上記のように、
所望のプロセスガス流量は、プロセスパラメータとして
プロセスガス制御サブルーチン83に転送される。更
に、プロセスガス制御サブルーチン83は、所定のプロ
セスガス流量のために必要な値を含む格納テーブルにア
クセスすることにより、所望のプロセスガス流量のため
に必要な配送ガス流量、バブラ圧力、およびバブラ温度
を得るステップを含んでいる。必要値が得られると、配
送ガス流量、バブラ圧力およびバブラ温度が監視されて
その必要値と比較され、その結果に応じて調節される。
【0045】圧力制御サブルーチン85は、チャンバの
排気システム内のスロットルバルブの開口サイズを調整
することによってチャンバ15内の圧力を制御するプロ
グラムコードを有している。スロットルバルブの開口サ
イズは、全プロセスガス流量、プロセスチャンバのサイ
ズ、および排気システム用のポンピング設定点圧力に関
連した所望のレベルにチャンバ圧力を制御するように設
定される。圧力制御サブルーチン85が呼び出される
と、所望の、または目標の圧力レベルがパラメータとし
てチャンバマネージャサブルーチン77aから受け取ら
れる。圧力制御サブルーチン85は、チャンバに接続さ
れた一つ以上の従来型圧力計を読み取ることによりチャ
ンバ15内の圧力を測定し、その測定値を目標圧力と比
較し、目標圧力に対応するPID(比例、積分、および
微分)値を格納圧力テーブルから取得し、圧力テーブル
から得られたPID値に従ってスロットルバルブを調節
するように動作する。この他に、圧力制御サブルーチン
85は、スロットルバルブを特定の開口サイズに開閉す
ることによってチャンバ15を所望の圧力に調整するよ
うに書くこともできる。
【0046】ヒータ制御サブルーチン87は、基板の加
熱に使用される加熱ユニットへの電流を制御するプログ
ラムコードを有している。ヒータ制御サブルーチン87
もまた、チャンバマネージャサブルーチン77aによっ
て呼び出され、目標温度パラメータ、すなわち設定点温
度パラメータを受け取る。ヒータ制御サブルーチン87
は、ペデスタル12内に配置された熱電対の電圧出力を
測定することによって温度を測定し、測定された温度を
設定点温度と比較して、加熱ユニットに加えられる電流
を設定点温度が得られるように増減する。温度は、格納
変換テーブル中の対応する温度を参照するか、あるいは
4次多項式を使って温度を計算することにより、測定電
圧から得られる。埋込みループを用いてペデスタル12
を加熱する場合、ヒータ制御サブルーチン87は、ルー
プに加えられる電流のランプ増減を徐々に制御する。更
に、プロセスの安全コンプライアンスを検出するために
ビルトインフェイルセーフモードが含まれていて、プロ
セスチャンバ15の準備が適切でない場合に、このフェ
イルセーフモードが加熱ユニットの動作を停止できるよ
うになっていてもよい。
【0047】プラズマ制御サブルーチン90は、チャン
バ15内のプロセス電極に加えられる低周波および高周
波RF電力のレベルを設定するとともに、使用される低
周波RF周波数を設定するプログラムコードを有してい
る。前述のチャンバコンポーネントサブルーチンと同様
に、プラズマ制御サブルーチン90は、チャンバマネー
ジャサブルーチン77aによって呼び出される。
【0048】上記のリアクタの記述は主として例示のた
めであり、他のプラズマCVD装置、例えば電子サイク
ロトロン共鳴(ECR)プラズマCVD装置や誘導結合
RF高密度プラズマCVD装置、を使用してもよい。ま
た、上記システムの変更、例えばペデスタル設計、ヒー
タ設計、RF電力周波数、RF電力コネクションの配
置、その他の変更が可能である。例えば、ウェーハをサ
セプタによって支持し、石英水銀灯によって加熱しても
よい。本発明の上記の層を形成する装置および方法は、
特定の装置や特定のプラズマ励起方法に限定されるもの
ではない。
【0049】III.安定なFSG層の堆積 本発明によって絶縁膜を形成する場合、ウェーハは真空
ロックドアを介して真空チャンバ15内に搬入され、ペ
デスタル12上に配置される(図7、ステップ20
0)。次に、ペデスタルが処理位置14に移動される
(ステップ205)。処理位置14では、ウェーハはガ
ス分配マニホールド11から約300〜600ミルに位
置する。
【0050】ウェーハが適切に配置されると、ウェーハ
およびペデスタルは約200〜450℃の温度に加熱さ
れ、プロセスガスがガス分配マニホールドから処理チャ
ンバ内に導入される(ステップ210および215)。
プロセスガスは、フッ素のガス状ソースとしてのSiF
4、ケイ素のガス状ソースとしてのSiH4、酸素のガス
状ソースとしてのN2O、および窒素のガス状ソースと
してのN2を含む混合気である。
【0051】SiH4は、約10〜30sccmの低位
流量(lower flow rate)と、約450〜500scc
mの高位流量(upper flow rate)で処理チャンバに導
入される。SiF4は、約5〜15sccmの低位流量
と、約800〜1000sccmの高位流量で処理チャ
ンバに導入される。N2Oは、約10〜30sccmの
低位流量と、約3800〜4000sccmの高位流量
で処理チャンバに導入される。N2は、約100〜30
0sccmの低位流量と、約2700〜3000scc
mの高位流量で処理チャンバに導入される。更に、ヘリ
ウム(He)をキャリヤガスとして使用してもよい。使
用する場合、Heは、約10〜30sccmの低位流量
と、約2700〜3000sccmの高位流量で処理チ
ャンバに導入される。勿論、上記のガスを上記の高位流
量と低位流量との間の流量でチャンバに導入してもよ
い。
【0052】N2対SiF4の好ましい比率は約4〜5:
1であり、好ましい値は約4.4:1である。N2対S
iH4の好ましい比率は約3.2〜4.5:1であり、
好ましい値は約3.8:1である。更に、N2対N2Oの
好ましい比率は約1:1〜3であり、好ましい比率は約
1:2である。
【0053】チャンバは、約1〜6torrの圧力に維
持され(ステップ220)、プロセスガスは、単一のR
F電源(13.56MHz)を約100〜2000Wで
使用することによりプラズマ状態に励起される(ステッ
プ225)。プロセスの堆積速度は、少なくとも約1.
5μm/分である。この高堆積速度プロセスの結果が、
低い誘電率を持つ安定した絶縁膜である。
【0054】プロセスガスのすべての成分が同時に導入
されるステップ215の代わりに、本発明の好適な実施
形態は、これらの成分を導入する精密な手順を使用す
る。このような実施形態では、SiF4はステップ21
5では導入されない。その代わりに、SiF4は、ウェ
ーハが所望のプロセス温度に加熱されたときに導入され
る。これは、RF電力を印加してプラズマを発生させる
ステップ225に対応する。このようなプロセス手順
は、プラズマが発生する前にSiF4とSiH4との間で
起こる可能性のある反応を最小限に抑え、これによりプ
ラズマが発生する前のHFの形成を防ぐ。堆積中(すな
わち、プラズマが発生した後)のHFの形成は、膜中の
自由フッ素または結合の緩いフッ素の量の削減に役立つ
が、プラズマの発生前に形成されたHFは、プラズマが
発生すると分極を起こす可能性がある。分極するとHF
は膜上に残渣を形成する傾向があるので、膜の安定性お
よび付着性に影響を与える。従って、上記のプロセス手
順は、膜上のHF残渣の形成を除去することによって、
膜の品質と安定性を更に改善する。
【0055】次に図8について説明する。本発明の方法
の好適な実施形態では、堆積プロセスは四つのステップ
を含んでいる。図示のように、第1のプロセスステップ
は安定化ステップ250であり、これには図7のステッ
プ210〜220が含まれる。安定化ステップ250の
後は、堆積ステップ260である。このステップには、
図7のステップ225と膜の堆積とが含まれる。従来の
方法では、ポンピング−オフステップ280が堆積ステ
ップ260の後に続く。このステップ280では、RF
電力が切られ、チャンバ内へのガス流が止まり、チャン
バ内のガスがチャンバから排気される。しかしながら、
本発明の方法の好適な実施形態によれば、ポンピング−
オフステップ280においてチャンバ内への他のガス流
が停止される2〜3秒前に、終了ステップ270が処理
チャンバ内へのSiF4流を停止する。この手法で堆積
されたあるFSG膜は、少なくとも700℃までの温度
に加熱されたときに、フッ素、HF、またはH2Oのア
ウトガスを示さないことが試験で明らかになった。
【0056】チャンバ内へのSiF4流を他のガス流を
止める前に停止することにより、膜表面上における結合
の緩いフッ素の形成が低減されるので、堆積したFSG
膜の安定性が更に高まる。本発明によって堆積されたF
SGおよびその他のハロゲンドープトシリコン酸化物膜
は、上記で使用された堆積方法に応じて少なくとも47
5℃または700℃の温度までフッ素、HF、またはH
2Oの大きなアウトガスを示さないまま、少なくとも7
%までのSiF(SiF+SiO結合に対するSiF結
合のピーク高さ比のフーリエ変換赤外(FTIR)分光法
により測定した場合)を含むことができ、SIMSを用
いて測定される少なくとも16at.%までのフッ素を
取り込むことができる。膜の誘電率は金属−絶縁膜−半
導体(MIS)構造中の1MHzのC−V曲線による測
定で約3.3〜3.5であり、プロセスの堆積速度は、
ほぼ1.5〜1.8μm/分である。
【0057】図9は、ドーピング効率、堆積速度、およ
び膜の品質に関するプロセスの種々のパラメータの効果
を示している。圧力の増加に伴ってドーピング効率と膜
の品質は向上するが、堆積速度は低下することが分か
る。基板とガス分配中心との間隔が増すにつれてドーピ
ング効率は向上するが、堆積速度と膜の品質は低下す
る。高RF電力の増加は、ドーピング効率、堆積速度、
および膜の品質の向上に対応する。これに対して、低R
F電力の増加は膜に影響を与えない。低RF電力はSi
4結合の破壊には有効でないから、これは驚くに当た
らない。従って、本発明は、高RF電力のみを使用す
る。
【0058】図9は更に、温度の増加がドーピング効
率、堆積速度、および膜の品質の向上に対応することを
示している。また、SiF4の流量が増加するにつれ
て、より多くのフッ素が導入されるので、ドーピング効
率が向上する。堆積速度は影響を受けず(高いフッ素含
有量は結果として膜のエッチングを増大しないことか
ら、これはSiF4が高堆積速度プロセスに適している
ことを示している)、膜の品質は低下する(フッ素含有
量の増加に伴って安定性が低下する)。図示のように、
SiH4またはN2Oの増加は、堆積速度の増加に対応す
る。しかし、ドーピング効率と膜の品質は低下する。従
って、N2の流量が増加するにつれて膜の品質が向上す
ることから、プロセスにN2を追加することが望まし
い。堆積速度はN2流量の増加によって影響されないが
(N2が不活性ガスだからである)、ドーピング効率は
2流量の増加に伴って低下する。
【0059】N2は窒素の好適なソースだが、自由フッ
素または結合の緩いフッ素と反応させるために、アンモ
ニア(NH3)など他の窒素ソースをプロセスガスで使
用してもよい。NH3中の水素もフッ素と反応してHF
を形成するため、NH3は、N2よりも効率の良い窒素ソ
ースになりうると考えられる。HFも揮発性ガスなの
で、HFは、堆積中および堆積終了後にNF2およびN
3と共にチャンバから排気することができる。N2
は、NO結合を破壊するために比較的大量のエネルギを
必要とするので、あまり望ましくない窒素ソースである
と考えられる。フッ素との反応のためにN2結合を破壊
してNF2やNF3を形成するために必要なエネルギは、
もっと少ない。
【0060】最も好適な実施形態では、高度に安定した
FSG膜が次の好適な条件下で堆積される。すなわち、
チャンバ圧力は3.7torrに維持され、ガス分配中
心からのペデスタルの間隔は400ミルであり、SiH
4は260sccmの流量でチャンバに導入され、N2
は3000sccmの流量でチャンバに導入され、N2
は1000sccmの流量でチャンバに導入され、He
は1000sccmの流量でチャンバに導入される。プ
ロセスガスは、1500Wの高RF電力を使用してプラ
ズマ状態に励起され、SiF4は、225sccmの流
量でチャンバに導入される。
【0061】本発明のFSG膜およびその他のハロゲン
ドープトシリコン酸化物膜の物理特性は、これらの膜を
その低い誘電率、高い堆積速度および高い安定性によっ
て、HDP CVD用のキャップ層またはIMD層内の
塗布ガラス(SOG)低kギャップフィル層、およびダ
マシンプロセスにおける絶縁層として特に有用なものと
する。ここで、図10を参照すると、本発明に従ってキ
ャップ層として堆積された絶縁層300が示されてい
る。この絶縁層300は、高密度プラズマ(HDP)層
320の上に堆積されているように示されている。上述
のように、絶縁層300は安定で、良好な付着品質と低
い誘電率を持ち、高い堆積速度で堆積する。従って、こ
の層は、後に堆積される金属層(チタン層を含む)がI
MDキャップ層の上に堆積される場合にそのIMDキャ
ップ層として高スループットプロセスで使用するのに適
している。このような層または層中のフッ素は金属層と
反応する可能性があるので、高い安定性が要求される。
HDP層320が良好なギャップフィル能力を有してい
るので、この用途における絶縁層300のギャップフィ
ル能力は重要ではない。
【0062】HDP−CVDリアクタでは、非常に低い
圧力条件(ミリtorrの範囲)でプラズマを発生させ
るために誘導結合コイルが使用される。このようなHD
P−CVDリアクタによって生成されたプラズマは、標
準的な容量結合PECVDプラズマのイオン密度よりも
大きさがほぼ2桁(またはそれ以上)大きいイオン密度
を有している。HDP−CVDリアクタで使用される低
いチャンバ圧力は、長い平均自由行程を有する活性種を
与えるものと考えられる。この要因は、プラズマの密度
と相まって、多数のプラズマ成分が深く間隔の狭いギャ
ップの底部に到達することさえ可能にし、優れたギャッ
プフィル特性を有する膜を堆積させる。また、堆積中の
スパッタリングを促進するために、アルゴンや同様の重
い不活性ガスが反応チャンバに導入される。HDP堆積
のスパッタリング要素が、充填中のギャップの側面の堆
積物をエッチングして除去し、これがまたHDP堆積膜
の高いギャップフィルに寄与すると考えられる。
【0063】同様に、図11に示されるように、絶縁層
300をSOG低k膜上のキャップ層として堆積させる
こともできる。図から分かるように、SOG低k膜は、
次のステップによって堆積される。すなわち、液体低k
ガラス膜がメタルライン間の狭いギャップを充填するよ
うにメタルライン上に注がれ、液体膜が一平面になるよ
うに回転させられる。この後、膜は硬化のためにベーキ
ングされ、膜が硬化した後、SOG低k層330のメタ
ルライン上の部分がエッチングされる。絶縁層330が
エッチングされた後、層300がキャップ層としてメタ
ルライン上に堆積されるので、絶縁層300のギャップ
フィル能力は重要ではない。絶縁層300は、SOG低
k層330よりもIMD層として好適である。その理由
は、絶縁層300の方がより安定しているからである。
SOG低k層330は、事実上液体であり、硬化のため
にベーキングされてはいるが絶縁層300よりも多くの
水分を含んでいる。
【0064】別の実施形態では、本発明のFSG層をダ
マシンプロセスにおいて絶縁膜300として用いること
ができる。図12を参照すると、ダマシンプロセスで
は、絶縁層300が最初に堆積され、続いて、絶縁層3
00のエッチング部分および未エッチング部分の上に金
属層340を堆積できるようにエッチングが行われる。
この後、金属層340は、メタルライン340を形成す
るようにエッチバックされる。図13に示されるよう
に、絶縁層300を、メタルライン340を覆うキャッ
プ層として堆積させてもよい。この他に、追加金属層の
堆積を可能にするために引き続きエッチングが施される
のは、中間絶縁層であってもよい。
【0065】図14〜図25は、絶縁層中のフッ素濃度
の増加が層の安定性に与える影響を示す試験結果であ
る。膜中のフッ素の量は、膜の屈折率(RI)を使って
モニタすることができる。膜のRIが高い程、膜のフッ
素含有量は低い。図14は、種々のSiF4流量のもと
で堆積した様々なFSG層に対するフーリエ変換赤外
(FTIR)スペクトラムを示す。図15は、FSG膜
のRIをSiF4流量の関数として示しており、SiF4
流量をFTIRピーク比と関係づけている。
【0066】図15に示されるように、FSG膜のRI
はフッ素流量の増加に伴って低下したが、これは膜のフ
ッ素含有量の増加を意味する。図14および図15から
分かるように、膜中のフッ素含有量を示すSiOFピー
クは、SiF4流量が100sccmから400scc
mに増加するに伴って大きくなっている。望ましくない
自由フッ素または結合の緩いフッ素は、スペクトル中の
SiF2ピークの存在によって検出することができる。
図14〜15が示すように、上述の条件下では、SiF
2なしで膜中にドープすることができるフッ素の最大量
は、FTIRピーク比で7%であり、これは1.406
というRI値と約230sccmというSiF4流量に
相当する。
【0067】FSG膜へのフッ素ドーピングの効率に対
する電力、チャンバ圧力、およびSiF4流量の効果
を、次の条件で用意した厚さ1μmのサンプル膜に基づ
いて研究した。すなわち、チャンバ圧力を約3.7to
rrに維持し、ガス分配中心からのペデスタルの間隔を
約400ミルとし、SiH4を約260sccmの流量
でチャンバに導入し、N2Oを約3000sccmの流
量でチャンバに導入し、N2を約1000sccmの流
量でチャンバに導入し、プロセスガスは約1500Wの
高RF電力でプラズマ状態に励起した。SiF4がチャ
ンバに導入される流量を100sccmから300sc
cmまで変化させ、電力を700Wから1500Wまで
変化させ、チャンバ圧力を3.5torrから5tor
rまで変化させた。
【0068】図16は、膜のフッ素含有量を電力および
SiF4流量の関数として示している。この図から分か
るように、膜のRIはSiF4流量と電力の増加に伴っ
て減少しているので、膜のフッ素含有量は、SiF4
量と電力の増加に伴って増加する。
【0069】図17は、膜のフッ素含有量を圧力および
SiF4流量の関数として示している。この図から分か
るように、膜RIはSiF4流量と圧力の増加に伴って
減少しているが、電力とは異なり、この関係は線形では
ない。約250sccmのSiF4流量でフッ素含有量
は飽和し、フッ素流量に関係なく実質的に一定となっ
た。
【0070】図18は、1μm膜のRIおよび応力をク
リーンルーム中の14日間にわたって示している。膜の
RIと応力は比較的一定に保たれたので、この図は膜が
安定していることを示している。
【0071】図19は、水中で30分間煮沸した後の膜
のFTIRスペクトラムを示している。水試験後のFT
IRスペクトルはH−O−H結合の形成を示さなかった
ので、このスペクトラムは膜が安定していることを示し
ている。
【0072】図20は、N2を用いて堆積した膜の沸騰
水試験を経た後の安定性をN2なしで堆積したものと対
比している。この図から分かるように、N2なしで堆積
させた膜は、そのFTIRスペクトラムが約3350c
-1付近でH−O−H結合の形成を示したことから不安
定である。従って、図20は、N2の使用が膜の安定性
を更に改善することを裏付けている。
【0073】図21は、膜が450℃で30分間アニー
リングされる前後の膜のFTIRを示している。このア
ニーリング試験は、集積プロセスとの適合性を見るため
に行った。図21に示されるように、Si−O−Fピー
クは変化しない。すなわち、膜は、フッ素含有量を失わ
なかった。従って、膜は安定である。
【0074】更に、膜中のフッ素がアウトガスを開始し
た温度を求めるために、熱離脱分光(TDS)を行なっ
た。図22は、終了ステップ270を使用せずに堆積さ
れた膜のTDSを示している。図示のように、フッ素は
475℃でアウトガスを開始したが、HFは500℃で
アウトガスを開始した。終了ステップ270を用いて堆
積された膜のTDSを示す図23から分かるように、フ
ッ素およびHFは約700℃までアウトガスを開始しな
い。14日後に行われたTDSが同じ結果を示したの
で、これは堆積されたFSG膜が安定であることを示し
ている。
【0075】本発明によって堆積された膜は良好な付着
性も有している。前述のように、終了ステップ270
は、膜の表面上の結合の緩いフッ素を減少させる。従っ
て、この膜は、種々の金属層や誘電体層、例えばアルミ
ニウム、チタン、窒化チタン、タングステン、および誘
電体反射防止膜、に良好に付着する。図24は、この良
好な付着性を、堆積直後、水中煮沸30分後、および3
日後に撮影したアルミニウムパターンウェーハの写真を
用いて示している。この図から分かる通り、膜は30分
間水中で煮沸されたが、3日後になっても何らの腐食や
クラックや剥離を示さなかったので、良好な付着性を有
している。
【0076】最後に、膜のRI値、SiOF/SiOピ
ーク比、およびSIMSを用いて、膜にドープされたフ
ッ素の量の均一性を測定し、膜全体にわたるフッ素量を
求めた。図25から分かるように、膜全体にわたるフッ
素含有量は、16at.%で一定であった。従って、こ
の試験は、膜のフッ素含有量が膜全体を通じて一定であ
ることを示している。これは結局、膜の誘電率が膜全体
を通じて一定であることを示している。
【0077】上述した種々のガス導入流量のすべての数
値は、200mmウェーハ用に準備されたApplied Mate
rials製の抵抗加熱DxZチャンバに基づくものであ
る。他の容積チャンバや、他メーカ製のチャンバを使用
した場合は、異なるガス導入流量になるかもしれない。
【0078】従って、本発明の方法は上記の特定パラメ
ータに限定されるものではない。本発明の趣旨から逸脱
することなく異なる処理条件や異なる反応ソースを使用
できることは、当業者であれば理解できるであろう。本
発明に従って絶縁層を堆積させる他の均等な方法または
変形方法は、当業者には明らかであろう。これらの均等
方法および変形例は、本発明の範囲内に含まれる。
【0079】単なる例として、SiF2をシリコンソー
スとし、N2Oを酸素ソースとして使用するプロセスに
特に言及して本発明を説明しているが、他のシリコンソ
ース、例えばTEOSや、他の酸素ソース、例えば
2、CO2などを使用することも可能である。従って、
本発明の範囲は上記の説明に関して決定すべきではな
く、均等物の全範囲と共に特許請求の範囲に応じて決定
しなければならない。
【図面の簡単な説明】
【図1】本発明に係る化学的気相堆積装置の一実施形態
の縦断面図である。
【図2】本発明に係る化学的気相堆積装置の一実施形態
の縦断面図である。
【図3】図1に示すCVDチャンバの一定部分の分解斜
視図である。
【図4】図1に示すCVDチャンバの一定部分の分解斜
視図である。
【図5】一つ以上のチャンバを含むマルチチャンバシス
テム内のシステムモニタおよびCVDシステム10の概
略図である。
【図6】特定の実施形態に係るシステム制御ソフトウェ
ア、すなわちコンピュータプログラム70、の階層制御
構造を示すブロック図である。
【図7】本発明に係る方法の好適な実施形態による絶縁
層の形成のプロセスステップを説明するフローチャート
である。
【図8】本発明に係る方法の好適な実施形態による絶縁
層の形成のプロセスステップを説明するフローチャート
である。
【図9】ドーピング効率、堆積速度、および膜の品質に
関する各種プロセスパラメータの効果を示す図である。
【図10】本発明に係るキャップ層として堆積された絶
縁膜の概略断面図である。
【図11】本発明に係るキャップ層として堆積された絶
縁膜の概略断面図である。
【図12】ダマシンプロセスにおいて本発明により堆積
された絶縁膜の概略断面図である。
【図13】ダマシンプロセスにおいて本発明により堆積
された絶縁膜の概略断面図である。
【図14】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図15】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図16】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図17】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図18】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図19】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図20】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図21】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図22】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図23】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図24】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【図25】フッ素濃度の増加が本発明の絶縁膜の安定性
に与える影響を示す試験結果を表す図である。
【符号の説明】
10…CVDシステム、11…マニホールド、12…ペ
デスタル、13a…ガス分配面板、13b…貫通孔、1
5…処理チャンバ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジュディー エイチ. ウォング アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 デイヴィッド チュング アメリカ合衆国, カリフォルニア州, フォースター シティー, ビリングスゲ ート レーン 235

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 基板処理チャンバ内の基板上にハロゲン
    ドープトシリコン酸化物を堆積させるプロセスであっ
    て、 ケイ素ソース、酸素ソース、ハロゲンソース、および窒
    素ソースを含むプロセスガスを前記チャンバ内に導入す
    るステップと、 前記プロセスガスからプラズマを形成して、前記ハロゲ
    ンドープトシリコン酸化物層を前記基板上に堆積させる
    ステップと、を備えるプロセス。
  2. 【請求項2】 前記ハロゲンソースは、フッ素ソースを
    含んでいる、請求項1記載のプロセス。
  3. 【請求項3】 前記シリコン酸化物層は、少なくとも1
    6at.%までのフッ素を取り込むことができる、請求
    項2記載のプロセス。
  4. 【請求項4】 前記シリコン酸化物層は、少なくとも約
    1.5μm/分の堆積速度で前記基板上に堆積される、
    請求項2記載のプロセス。
  5. 【請求項5】 前記シリコン酸化物層は、約3.3〜
    3.5の全誘電率(overall dielectric constant)を
    有している、請求項2記載のプロセス。
  6. 【請求項6】 前記シリコン酸化物層は、フッ素アウト
    ガスが発生する前に少なくとも475℃まで加熱するこ
    とができる、請求項2記載のプロセス。
  7. 【請求項7】 前記シリコン酸化物層は、アウトガスが
    発生する前に少なくとも700℃まで加熱することがで
    きるように、前記チャンバ内への前記フッ素ソースの導
    入が、前記チャンバ内への他のガスフローの終了の2〜
    3秒前に停止される、請求項2記載のプロセス。
  8. 【請求項8】 前記処理チャンバ内を約1〜6torr
    の圧力に維持するステップと、前記基板を約200〜4
    50℃の温度に加熱するステップと、約13.56MH
    zの周波数で約100〜2000Wの高周波RF電力を
    用いてプラズマを形成するステップと、を更に備える請
    求項2記載のプロセス。
  9. 【請求項9】 前記フッ素ソースはSiF4ガスを含ん
    でおり、このフッ素ソースは約5〜1000sccmの
    流量で前記処理チャンバ内に導入される、請求項2記載
    のプロセス。
  10. 【請求項10】 前記SiF4ガスは、前記基板が所望
    のプロセス温度に達したときに前記処理チャンバ内に導
    入され、これにより、前記シリコン酸化物層の品質と安
    定性を更に向上させるようになっている請求項9記載の
    プロセス。
  11. 【請求項11】 前記ケイ素ソースはSiH4を含んで
    おり、このケイ素ソースは約10〜500sccmの流
    量で前記半導体処理チャンバ内に導入される、請求項2
    記載のプロセス。
  12. 【請求項12】 前記酸素ソースはN2Oを含んでお
    り、この酸素ソースは約10〜4000sccmの流量
    で前記処理チャンバ内に導入される、請求項11記載の
    プロセス。
  13. 【請求項13】 前記窒素ソースはN2ガスを含んでお
    り、この窒素ソースは約10〜3000sccmの流量
    で前記処理チャンバ内に導入される、請求項12記載の
    プロセス。
  14. 【請求項14】 半導体処理チャンバ内の基板上に低誘
    電率を有する高堆積速度FSG層を堆積させるプロセス
    であって、 前記基板を約200〜450℃の温度に加熱するステッ
    プと、 SiF4、酸素ソース、SiH4、およびN2を含むプロ
    セスガスを前記チャンバ内に導入するステップと、 前記チャンバを約1〜6torrの圧力に維持するステ
    ップと、 前記プロセスガスからプラズマを形成して、前記基板上
    に前記FSG層を堆積させるステップと、を備えるプロ
    セス。
  15. 【請求項15】 HDPギャップフィル層を堆積させる
    ステップと、 前記FSG層を、前記HDP層を覆うキャップ層として
    堆積させるステップと、を更に備える請求項14記載の
    プロセス。
  16. 【請求項16】 SOG低k膜を堆積させるステップ
    と、 前記FSG層を、前記SOG低k膜を覆うキャップ層と
    して堆積させるステップと、を更に備える請求項14記
    載のプロセス。
  17. 【請求項17】 半導体処理チャンバ内の基板上に低誘
    電率を有する高堆積速度FSG層を堆積させるプロセス
    であって、 前記基板を約200〜450℃の温度に加熱するステッ
    プと、 SiH4、酸素ソース、およびN2を含むプロセスガスを
    前記チャンバ内に導入するステップと、 前記チャンバを約1〜6torrの圧力に維持するステ
    ップと、 高RF電力が印加されたときにSiF4ガスを前記チャ
    ンバ内に導入して、前記プロセスガスおよび前記SiF
    4ガスからプラズマを形成し、前記基板上に前記FSG
    層を堆積させるステップと、 前記チャンバ内への前記プロセスガスの導入を終了する
    少なくとも2〜3秒前に、前記チャンバ内へのSiF4
    ガスの導入を終了するステップと、を備えるプロセス。
  18. 【請求項18】 処理チャンバと、 プロセスガスを前記処理チャンバに配送するように構成
    されたガス配送システムと、 前記プロセスガスからプラズマを形成するように構成さ
    れたプラズマ発生システムと、 前記ガス配送システムおよび前記プラズマ発生システム
    を制御するように構成されたコントローラと、 前記コントローラに結合された記憶装置と、を備える基
    板処理装置であって、 前記記憶装置は、この基板処理装置の動作を指示するコ
    ンピュータ読取り可能プログラムが内部に組み込まれた
    コンピュータ読取り可能媒体を備えており、このコンピ
    ュータ読取り可能プログラムは、 前記ガス配送システムを制御して、ハロゲンソース、ケ
    イ素ソース、窒素ソース、および酸素ソースを含むプロ
    セスガスを前記基板処理チャンバ内に導入する第1のコ
    ンピュータ命令セットと、 前記プラズマ発生システムを制御して前記プロセスガス
    からプラズマを形成し、前記チャンバ内の基板上にシリ
    コン酸化物層を堆積させる第2のコンピュータ命令セッ
    トと、を含んでいる、基板処理装置。
JP12685798A 1997-04-21 1998-04-21 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス Expired - Fee Related JP4323583B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/837641 1997-04-21
US08/837,641 US6077764A (en) 1997-04-21 1997-04-21 Process for depositing high deposition rate halogen-doped silicon oxide layer

Publications (2)

Publication Number Publication Date
JPH118235A true JPH118235A (ja) 1999-01-12
JP4323583B2 JP4323583B2 (ja) 2009-09-02

Family

ID=25275037

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12685798A Expired - Fee Related JP4323583B2 (ja) 1997-04-21 1998-04-21 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス

Country Status (6)

Country Link
US (2) US6077764A (ja)
EP (1) EP0874391B1 (ja)
JP (1) JP4323583B2 (ja)
KR (1) KR100550419B1 (ja)
DE (1) DE69837124T2 (ja)
TW (1) TW380286B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777323B2 (en) 2002-03-14 2004-08-17 Fujitsu Limited Lamination structure with copper wiring and its manufacture method
US6998340B2 (en) 2002-05-17 2006-02-14 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
US7146712B2 (en) * 2002-05-24 2006-12-12 Tdk Corporation Pattern forming method and method of making microdevice

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
JP3184177B2 (ja) * 1999-03-26 2001-07-09 キヤノン販売株式会社 層間絶縁膜の形成方法、半導体製造装置、及び半導体装置
US6559076B1 (en) * 1999-08-19 2003-05-06 Micron Technology, Inc. Method of removing free halogen from a halogenated polymer insulating layer of a semiconductor device
US6346476B1 (en) * 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
CN1221017C (zh) * 1999-11-30 2005-09-28 英特尔公司 改进的氟掺杂二氧化硅薄膜
US6541400B1 (en) * 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
US6221793B1 (en) * 2000-03-01 2001-04-24 Advanced Micro Devices, Inc. Process for forming PECVD undoped oxide with a super low deposition rate on a single state deposition
US6475925B1 (en) * 2000-04-10 2002-11-05 Motorola, Inc. Reduced water adsorption for interlayer dielectric
US6468927B1 (en) * 2000-05-19 2002-10-22 Applied Materials, Inc. Method of depositing a nitrogen-doped FSG layer
KR20020002732A (ko) * 2000-06-30 2002-01-10 박종섭 반도체 소자의 절연막 형성 방법
US6582522B2 (en) * 2000-07-21 2003-06-24 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
US6235654B1 (en) * 2000-07-25 2001-05-22 Advanced Micro Devices, Inc. Process for forming PECVD nitride with a very low deposition rate
US6479404B1 (en) * 2000-08-17 2002-11-12 Agere Systems Inc. Process for fabricating a semiconductor device having a metal oxide or a metal silicate gate dielectric layer
US6797646B2 (en) 2001-01-12 2004-09-28 Applied Materials Inc. Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6511922B2 (en) * 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6852649B1 (en) * 2001-03-30 2005-02-08 Cypress Semiconductor Corporation Multi-step high density plasma (HDP) process to obtain uniformly doped insulating film
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6482754B1 (en) * 2001-05-29 2002-11-19 Intel Corporation Method of forming a carbon doped oxide layer on a substrate
US6610354B2 (en) 2001-06-18 2003-08-26 Applied Materials, Inc. Plasma display panel with a low k dielectric layer
US6887780B2 (en) 2001-08-31 2005-05-03 Intel Corporation Concentration graded carbon doped oxide
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US20030113085A1 (en) * 2001-12-14 2003-06-19 Applied Materials, Inc., A Delaware Corporation HDP-CVD film for uppercladding application in optical waveguides
US20030110808A1 (en) * 2001-12-14 2003-06-19 Applied Materials Inc., A Delaware Corporation Method of manufacturing an optical core
US6514865B1 (en) * 2002-01-11 2003-02-04 Advanced Micro Devices, Inc. Method of reducing interlayer dielectric thickness variation feeding into a planarization process
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6933568B2 (en) * 2002-05-17 2005-08-23 Samsung Electronics Co., Ltd. Deposition method of insulating layers having low dielectric constant of semiconductor device, a thin film transistor substrate using the same and a method of manufacturing the same
US6809043B1 (en) * 2002-06-19 2004-10-26 Advanced Micro Devices, Inc. Multi-stage, low deposition rate PECVD oxide
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US20040091717A1 (en) * 2002-11-13 2004-05-13 Novellus Systems, Inc. Nitrogen-free fluorine-doped silicate glass
US6972217B1 (en) * 2002-12-23 2005-12-06 Lsi Logic Corporation Low k polymer E-beam printable mechanical support
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
US6951775B2 (en) * 2003-06-28 2005-10-04 International Business Machines Corporation Method for forming interconnects on thin wafers
US20050009367A1 (en) * 2003-07-09 2005-01-13 Taiwan Semiconductor Manufacturing Co. Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
KR101076516B1 (ko) * 2003-09-08 2011-10-24 파나소닉 주식회사 플라즈마 처리방법 및 장치
KR100555539B1 (ko) * 2003-12-17 2006-03-03 삼성전자주식회사 고밀도 플라즈마 화학기상증착 공정에 의한 갭 충전방법및 그 충전방법을 포함하는 집적 회로 소자의 제조방법
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070026653A1 (en) * 2005-07-26 2007-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cap layer on doped dielectric
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8721797B2 (en) * 2009-12-11 2014-05-13 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101475899B1 (ko) * 2010-12-08 2014-12-24 니신 일렉트릭 컴패니 리미티드 실리콘산화질화막 및 그 형성 방법 및 반도체 소자
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
JP6148845B2 (ja) * 2012-11-06 2017-06-14 日本特殊陶業株式会社 電極内蔵型セラミックス焼結体の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
JP2020136387A (ja) * 2019-02-15 2020-08-31 東京エレクトロン株式会社 成膜方法、成膜処理用の処理容器のクリーニング方法及び成膜装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5261961A (en) * 1985-07-23 1993-11-16 Canon Kabushiki Kaisha Device for forming deposited film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5571571A (en) 1993-06-16 1996-11-05 Applied Materials, Inc. Method of forming a thin film for a semiconductor device
JPH07169833A (ja) * 1993-12-14 1995-07-04 Nec Corp 半導体装置及びその製造方法
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
JPH08335579A (ja) * 1995-06-07 1996-12-17 Sony Corp フッ素を含むシリコン系酸化膜およびその製造方法
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
US6001728A (en) * 1996-03-15 1999-12-14 Applied Materials, Inc. Method and apparatus for improving film stability of halogen-doped silicon oxide films
US5763010A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Thermal post-deposition treatment of halogen-doped films to improve film stability and reduce halogen migration to interconnect layers
US5807785A (en) * 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5827785A (en) * 1996-10-24 1998-10-27 Applied Materials, Inc. Method for improving film stability of fluorosilicate glass films
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5759906A (en) * 1997-04-11 1998-06-02 Industrial Technology Research Institute Planarization method for intermetal dielectrics between multilevel interconnections on integrated circuits
JP3109449B2 (ja) * 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
US5858869A (en) * 1997-06-03 1999-01-12 Industrial Technology Research Institute Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US5876798A (en) * 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6727190B2 (en) * 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777323B2 (en) 2002-03-14 2004-08-17 Fujitsu Limited Lamination structure with copper wiring and its manufacture method
US6998340B2 (en) 2002-05-17 2006-02-14 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
US7419919B2 (en) 2002-05-17 2008-09-02 Oki Electric Industry Co., Ltd. Method of manufacturing semiconductor device
US7985696B2 (en) 2002-05-17 2011-07-26 Oki Semiconductor Co., Ltd. Method of manufacturing semiconductor device
US7146712B2 (en) * 2002-05-24 2006-12-12 Tdk Corporation Pattern forming method and method of making microdevice

Also Published As

Publication number Publication date
US6395092B1 (en) 2002-05-28
US6077764A (en) 2000-06-20
JP4323583B2 (ja) 2009-09-02
EP0874391A2 (en) 1998-10-28
KR19980081561A (ko) 1998-11-25
DE69837124T2 (de) 2009-08-20
KR100550419B1 (ko) 2006-04-21
DE69837124D1 (de) 2007-04-05
EP0874391B1 (en) 2007-02-21
TW380286B (en) 2000-01-21
EP0874391A3 (en) 1998-12-30

Similar Documents

Publication Publication Date Title
JP4323583B2 (ja) 高堆積速度のハロゲンドープトシリコン酸化物層を堆積させるプロセス
US6020035A (en) Film to tie up loose fluorine in the chamber after a clean process
JP4176864B2 (ja) 四弗化珪素/酸素の化学作用を用いて低誘電率のSi−O−F膜を堆積させる方法
US8143174B2 (en) Post-deposition treatment to enhance properties of Si-O-C low K films
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6583497B2 (en) Surface treatment of c-doped SiO2 film to enhance film stability during O2 ashing
US6899763B2 (en) Lid cooling mechanism and method for optimized deposition of low-K dielectric using TR methylsilane-ozone based processes
US6602806B1 (en) Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US5827785A (en) Method for improving film stability of fluorosilicate glass films
US6635575B1 (en) Methods and apparatus to enhance properties of Si-O-C low K films
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US6372291B1 (en) In situ deposition and integration of silicon nitride in a high density plasma reactor
US6523494B1 (en) Apparatus for depositing low dielectric constant oxide film
US6149974A (en) Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6375744B2 (en) Sequential in-situ heating and deposition of halogen-doped silicon oxide
JP2001515270A (ja) Peteosフィルムへのフッ素取り入れを通しての半導体装置絶縁特性の制御
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
JP2002057157A (ja) 銅ダマシン集積回路用hdp−fsg処理

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050411

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080807

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090526

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090605

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120612

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130612

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees