JPH10189889A - シングル・チップでdramマクロとロジック・コアを統合したシステム - Google Patents

シングル・チップでdramマクロとロジック・コアを統合したシステム

Info

Publication number
JPH10189889A
JPH10189889A JP9330060A JP33006097A JPH10189889A JP H10189889 A JPH10189889 A JP H10189889A JP 9330060 A JP9330060 A JP 9330060A JP 33006097 A JP33006097 A JP 33006097A JP H10189889 A JPH10189889 A JP H10189889A
Authority
JP
Japan
Prior art keywords
chip
logic
macro
logic core
macros
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9330060A
Other languages
English (en)
Other versions
JP3320349B2 (ja
Inventor
Kin Raku Win
ウィン・キン・ラク
Wan Wei
ウェイ・ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH10189889A publication Critical patent/JPH10189889A/ja
Application granted granted Critical
Publication of JP3320349B2 publication Critical patent/JP3320349B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels

Abstract

(57)【要約】 【課題】 同じチップ上にDRAMマクロとロジック・
コアを配置する仕様を提供する。 【解決手段】 チップは、チップの上部と下部に置かれ
たマルチバンクSDRAM(同期式DRAM)マクロを
含み、チップの中央部の、上下のSDRAMマクロの間
にロジック・コアが置かれる。チップの中央部の片側に
はPLLが置かれ、PLLからのクロックは、チップの
中央に導かれ、次にバッファされてからSDRAMマク
ロとロジック・コアとを駆動する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的には1つの
集積回路(IC)チップ上にマージ(混合)されたロジ
ックとDRAM(ダイナミック・ランダム・アクセス・
メモリ)のアーキテクチャに関し、更に詳細には、標準
化されたシングル・チップ混合型DRAM/ロジック・
アーキテクチャ、及びクリティカル・パスのクロック及
び制御信号の配線の平衡化を実現し、DRAMマクロ及
びロジック・コアのパワー・グリッド分配網を簡素化す
るフロア・プランに関する。本発明は、特にランダム・
アクセスの読取り及び書込みのトラフィックで高性能帯
域幅を維持できる統合媒体メモリUMM(Unified Medi
a Memory)エンジンに適用できる。
【0002】
【従来の技術】数百万のロジック・ゲート及びメモリ・
セルを持つ複雑なシステムをシングル・チップ上にまと
めるために、色々な試みが成されている。シングル・チ
ップ上にこれほど多くのゲート及びメモリ・マクロを設
計することは、しかし途方もない挑戦である。現在の半
導体産業の環境では、ロジック・プロセス技術をもとに
ロジック・コアが開発され、DRAMプロセス技術をも
とにDRAMマクロが開発される。ロジック及びDRA
Mの技術は全く異なる2つのアプローチである。
【0003】カスタム設計、合成設計及び事前設計のロ
ジック・コアと、基本原理が大幅に異なる再利用可能な
DRAMマクロを組み合わせることはかなり複雑であ
る。市場に向け、新しいSOC(System-On-Chip)製品
を作り上げるには、ロジックとDRAMをマージする新
しい技術がすぐにも求められる。
【0004】基本SOC設計に関する現在の考え方で
は、PCB(プリント回路基板)に既製部品をセットす
ることとかなり似ている方法で、ロジック・コアまたは
メモリ・マクロがチップにセットされ、次にメモリ、ラ
ンダム・ロジック及びデータ・パスの接続が追加され、
システム・レベルでの統合がなされる。しかしことはそ
う単純ではない。既存のロジック・コアやメモリ・マク
ロを扱うことは、設計時間がかなり短縮されることにな
るが、このアプローチでは新たにマージされたロジック
とDRAMの技術をチップ上で適合させるために、なお
かなりのインタフェース作業とカスタム設計が必要にな
る。技術のマッピングには、ロジック・コアとDRAM
マクロを従来の方法で組み合わせるとき、トランジスタ
しきい値、金属の層、グローバル・シミュレーション、
確認、ワイヤ・ルーティング、チップの統合等の困難な
課題がある。ロジック・コアとDRAMマクロとの間に
はスイッチング・ノイズ減結合コンデンサがなければな
らない。この他クロック・スキュー、性能、電力消費、
ランダム・アクセス・データ速度、DRAMマクロとロ
ジック・コアの同期、ノイズ・マージン、パッケージ等
の問題も大きくなり得る。従って、フロア・プラン、電
力及びクロック分配、アドレス、制御データ・パス及び
入力/出力(I/O)バス設計等が、シングル・チップ
にシステムを統合する上で大きな課題になっている。
【0005】
【発明が解決しようとする課題】本発明の目的は、DR
AMマクロとロジック・コアを同じチップ上に混載する
仕様を提供することである。
【0006】
【課題を解決するための手段】本発明に従って、シング
ル・チップ上でロジックとDRAMがマージされるサブ
システム・アーキテクチャの仕様が得られる。チップ
は、チップの上部と下部に置かれたマルチバンクのSD
RAM(同期式DRAM)マクロを含み、チップの中央
部、上下のSDRAMマクロの間にロジック・コアが置
かれる。チップの中央部の片側には少なくとも1つのP
LL(位相ロック・ループ)が置かれ、オンチップ・シ
ステム・クロックが提供される。クロックは、チップの
中央に導かれ、次にバッファされてからファン・アウト
し、SDRAMマクロとロジック・コアとを駆動する。
クロック信号は、特定の幅と厚みを持つ2つ以上の厚い
ワイヤ金属層を用いて、チップ全体に分配される。オン
チップ・システム・バスは、SDRAMマクロとロジッ
ク・コアとの間で高速データ転送を実現する。ロジック
・コアは用途によるが、例えばビット幅の広いデータ・
パスの計算エンジン、グラフィックス・エンジン、マイ
クロプロセッサ・コア等である。マルチプレクサとバッ
ファ回路が組み合わせられ、オンチップ・システム・バ
スとオフチップ・デバイスとの間に、オフチップI/O
ドライバを通して高速双方向データ転送が実現される。
SDRAMマクロとロジック・コアのための別々のパワ
ー・グリッドに、減結合コンデンサ・アレイがSDRA
Mマクロとロジック・コアに対して個別に含まれる。プ
ログラマブル配線セル構造により、SDRAMマクロ
間、及びSDRAMマクロとロジック・コア間でパワー
・グリッドが接続されまたは開かれる。
【0007】
【発明の実施の態様】各図、特に図1を参照する。シン
グル・チップでロジックとDRAMをマージしたサブシ
ステムのアーキテクチャが示してある。図は特別なアー
キテクチャであり、ランダム・アクセスの読取り及び書
込みのトラフィックで高性能帯域幅を維持できる、DR
AMとロジックをマージした技術としての統合媒体メモ
リUMM(Unified Media Memory)チップを対象にして
いる。この特定のアーキテクチャは、1つの例としての
み示しており、開示されている手法は、多くの高性能S
OC設計に有益であることは理解されよう。
【0008】図1に示したシステムは、位相ロック・ル
ープ(PLL)クロック11、ゲート・アレイまたは標
準セル・ランダム・ロジック・メモリ・コントローラ1
2、高帯域幅グラフィック・エンジン13(図1ではB
itBLT)、直接アクセス・メモリSAM(Series A
ccess Memory)14、及びマルチバンク64メガビット
(MB)同期DRAM(SDRAM)15を含む。これ
らのロジック・コアとSDRAMマクロは、高帯域幅2
56ビットでオンチップの上下データ・バス16A、1
6Bを通して通信する。ゲート・アレイ・メモリ・コン
トローラ12は全ての制御信号及びアドレス信号を各種
SDRAMマクロに提供する。マルチプレクサとバッフ
ァの組み合わせ(MUX/BUFF)17A、17B、
17C及び17Dは、オフチップ入力/出力(I/O)
のため、オフチップ・ドライバを介してデータ・バス1
6A、16Bの端部に接続される(図6、図7のフロア
・プランの周囲に示す通り)。PLL11はオンチップ
・クロック生成網を提供する。
【0009】図1のアーキテクチャのフロア・プランは
図2に示してある。SDRAMマクロ211〜214及び
215〜218がそれぞれチップの上部と下部に置かれ、
DRAMコントローラ23、BitBLTエンジン2
4、及びSAM25を含む種々のロジック・コアがチッ
プの中央部であって、上下のSDRAMマクロの間に置
かれる。PLL22はチップの中央部の左側に置かれ、
クロック生成網のためクロック信号を生成する。
【0010】クロックの分配は設計の中で最も重要なパ
スの1つである。クロック生成網を実現する方法はいく
つかある。従来技術のクロック網はUループとして展開
されていた。これは、図2のフロア・プランに適用され
ると、Uループ分配システム31(図3)になり、8つ
のSDRAMマクロが全て接続される。この方法の欠点
は、様々なSDRAMマクロ間のクロックのスキューま
たは信号の劣化には、このアーキテクチャでは対応でき
ないことである。これはSDRAMマクロ211がクロ
ック信号をSDRAMマクロ214よりもかなり早く取
得するからであり、またSDRAMマクロ215及び2
8についても同様である。
【0011】図4に示すように、本発明に従って、PL
L41からのオンチップ・システム・クロックは、抵抗
/キャパシタンス(RC)遅延時間を最小にするため
に、厚く幅のある金属ワイヤを使用してチップの中央に
導かれ(メイン・クロック・ライン)、次にバッファ4
2A、42Bによってバッファされ、平衡インバータ・
ツリー(図示なし)とクロック・ワイヤを通してファン
・アウトし、SDRAMマクロとロジック・コアとを駆
動する。クロック・ワイヤの幅と厚みは、RC遅延時間
とクロック・スキューが最小になるよう設計される。ワ
イヤは、好適には幅300nm〜1100nm、厚み2
00nm〜1100nmである。また、クロック分配回
路網は、ファン・アウトされたクロック信号によって駆
動され、追加のレベルのバッファリングを与えるグロー
バル・クロック・ドライバと、これからクロック信号を
受け取るローカル・クロック・ドライバとを含む階層的
クロック分配構成とすることができる。ローカル・クロ
ック・ドライバからのクロック信号は、2つ以上の金属
層によりチップ全体に分配される。同様に図5に示すよ
うに、制御信号はそれぞれ均一なスキューで各SDRA
Mマクロに均一に分配される。各SDRAMマクロはク
ロック信号(CLK)、行アクセス・ストローブ(RA
S)、列アクセス・ストローブ(CAS)、書込みイネ
ーブル(WE)信号、及びチップ・イネーブル(CE)
信号を受信する。信号は全て均一に分配され、スキュー
が最小にされる。全てのSDRAMマクロへの制御信
号、アドレス・デコーダ・ライン(A0〜A11)、及
びデータ・バッファ・バスは、クロック平衡ツリーの分
配と同じ方法で供給される。信号の対称レイアウトはチ
ップの下部のSDRAMセルでは同じになる(図示な
し)。
【0012】チップの対称レイアウトはDRAMとロジ
ックがマージされた、本発明に従った仕様の主要素であ
る。対称性がない場合、クロックは何らかの同期方式で
信号を送ることができるが、セルによってはデータを他
より早く或いは遅く取得することがある。チップは最も
遅い要素と同程度の速度でしか機能しないので、メモリ
・セルは全てデータを同時に受信し格納する必要があ
る。
【0013】パラレル・データ・バスはSDRAMマク
ロとチップ中央部のロジック・コアとの間に置かれる。
データ・バスの半分はロジック・コアと上部SDRAM
との間に、残り半数はロジック・コアと下部SDRAM
との間に置かれる。データ・バスのビットは、データ・
バスの隣接したビット間の信号結合の影響が最小になる
ように順序付けられる。これは図6に、ここに述べてい
るアーキテクチャの特定の例について示してある。ここ
でSDRAMマクロ用の減結合コンデンサ・アレイと、
ロジック・コア用の減結合コンデンサの位置がはっきり
示してある。減結合コンデンサはメモリのノイズ・シー
ルドを提供する。ロジック・コアはSDRAMの動作に
干渉するノイズ環境で動作する。また減結合コンデンサ
・アレイは、チップ性能に対する電源変動(di/d
t)の影響を軽減する。減結合コンデンサ・アレイは、
システム・バス領域の下に、または他のデバイス空き領
域の下に、所要チップ領域を増やさず、かつシステムの
性能を落とさない形で追加される。減結合コンデンサ・
アレイの基本セルは、従来のDRAM技術のプレーナ・
トランジスタ・セルまたはトレンチ・コンデンサ・セル
のゲート/ドレイン及びゲート/ソースいずれかで構成
できる。直交するパワー・グリッド(VDDとGND)の
展開はSDRAMマクロとロジック・コアで分離され
る。VDDとGNDの水平ラインと垂直ラインは、互いに
図7のように2つ以上の厚く幅のある金属層を用いてイ
ンタリーブされる。またPLL用に個別VDD電源があ
る。
【0014】SDRAMマクロとロジック・コアのパワ
ー・グリッドは簡単に選択的に接続することができる。
これには隣接した2つのコンタクト・パッドの間に、選
択的に切断するプログラマブル・ヒューズを使用する。
このヒューズの構造は図8に示してある。通常はポリシ
リコン・ワイヤ61が、ヒューズ要素としてこの構造に
コンタクト・パッド62、63のペアの間に含まれる。
これに代えて、図9に示した電界効果トランジスタ(F
ET)デバイス64の形の選択的に接続するプログラマ
ブル・アンチヒューズをテストのために使用することも
できる。図10には、DRAMマクロとロジック・コア
のパワー・グリッドを接続するまたは開いたままにして
おく代表的なプログラマブル配線構造を示している。
【0015】チップの高性能は、平衡したクロック分
配、制御バスとアドレス・バス、パワー・グリッド分
布、独立した多くの内部バンクでのデータの分布、及び
高速で広い外部I/Oチャネルなどの要素によって決定
される。同期、高速ランダム・アクセス、及び小さいク
ロック・スキューが各SDRAMマクロで実現される。
このフロア・プランの利点は、簡易性、対称型アクセ
ス、低消費電力、及びノイズの抑制である。
【0016】ここに述べて図示したSDRAMマクロの
UMMエンジンの特徴は、同期式シングルバンク・レベ
ルまたはマルチバンク・レベルの行アクセス・ストロー
ブ(RAS)制御にあり、200MHz動作で1/2/
4/8/全ページ・バーストの各モードをサポートす
る。マクロから得られるI/O数は64であり、2つ以
上のマクロを組み合わせることにより理想的なインタリ
ーブまたはプリフェッチのアーキテクチャが得られる。
【0017】PLLは、制御信号と様々なマクロとの同
期をとるためにゲート・アレイ・メモリ・コントローラ
に信号を送る。データはUMMエンジンの外部から受信
されるか、またはオフチップにメモリ・コントローラに
よって制御される4つのマルチプロセッサとバッファ
(MUX/BUFF)を介して供給される。メモリ・コ
ントローラは制御信号とアドレス信号を様々なDRAM
マクロとロジック・コアに送信する。
【図面の簡単な説明】
【図1】本発明に従ってDRAMとロジックをマージし
たチップの1つの例のアーキテクチャのブロック図であ
る。
【図2】図1に示したDRAMとロジックをマージした
チップのためのフロア・プランのブロック図である。
【図3】クロック網に関する従来技術の方法を示すため
に変更を加えた、図2に示したフロア・プランのブロッ
ク図である。
【図4】本発明に従ったクロック生成網のレイアウトを
示す、図2に示したフロア・プランのブロック図であ
る。
【図5】本発明に従った平衡化した制御ラインとアドレ
ス・ラインを詳しく示す、図4の一部の拡大図である。
【図6】ドライバと減結合コンデンサ、及びDRAMマ
クロと各種ロジック・マクロを示す、チップ・フロア・
プランを実現した場合の平面図である。
【図7】ドライバと減結合コンデンサ、DRAMマクロ
とロジック・コアのパワー・グリッドを示す、チップ・
フロア・プランを実現した場合の平面図である。
【図8】制御可能なパワー・パッド接続に使用できる接
続タイプの例を示す図である。
【図9】制御可能なパワー・パッド接続に使用できる接
続タイプの例を示す図である。
【図10】DRAMマクロとロジック・コアのパワー・
グリッドを接続するまたは開いたままにしておくための
プログラマブル配線構造を示すブロック図である。
【符号の説明】
11、22、41 位相ロック・ループ(PLL)・ク
ロック 12 ゲート・アレイまたは標準ランダム・ロジック・
メモリ・コントローラ 13、24 高帯域幅グラフィック・エンジン 14、25 SAM 16A、16B データ・バス 17A、17B、17C、17D MUX/BUFF 23 DRAMコントローラ 31 Uループ分配システム 42A、42B バッファ 61 ポリシリコン・ワイヤ 62 コンタクト・パッド 64 電界効果トランジスタ(FET)・デバイス
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ウェイ・ワン アメリカ合衆国10504、ニューヨーク州ア ーモンク、ロング・ポンド・ロード 3

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】シングル・チップ上にダイナミック・ラン
    ダム・アクセス・メモリとロジック・デバイスとを設け
    たダイナミック・ランダム・アクセス・メモリ/ロジッ
    ク混合デバイスであって、 前記チップの上部と下部の複数のダイナミック・ランダ
    ム・アクセス・メモリ・マクロと、 前記チップの中央部であって、前記上部と下部のマクロ
    の間に設けられた、メモリ・コントローラを含むロジッ
    ク・コアと、 前記上部と下部のマクロの間の、前記チップの中央でな
    い位置に設けられたオンチップ・システム・クロック
    と、 前記オンチップ・システム・クロックからのクロック信
    号を前記チップの中央に導いてバッファし、金属ワイヤ
    を通してファン・アウトし、前記マクロと前記ロジック
    ・コアとをクロック・スキューなく駆動する、分配網
    と、 前記上部及び下部のマクロと前記ロジック・コアとの間
    に設けられ、前記マクロと前記ロジック・コアとの間で
    データを転送する、パラレル・システム・バスと、 前記システム・バスと複数のオフチップ入力/出力ドラ
    イバとの間で双方向にデータを転送する、前記パラレル
    ・システム・バスの両端のマルチプレクサ及びバッファ
    と、 前記マクロを前記ロジック・コアから分離し、前記マク
    ロに対して前記ロジック・コアからのノイズ分離を与
    え、チップ性能に与える電源変動の影響di/dtを低
    減する、複数の減結合コンデンサ・アレイを含む、前記
    マクロ及び前記ロジック・コアのための個別のパワー・
    グリッドとを含む、メモリ/ロジック混合デバイス。
  2. 【請求項2】前記マクロと前記ロジック・コアの前記個
    別のパワー・グリッドを選択的に接続するまたは切断す
    る、複数のプログラマブル配線セル構造を含む、請求項
    1記載のメモリ/ロジック混合デバイス。
  3. 【請求項3】前記金属ワイヤは幅100nm乃至110
    0nm、厚み100nm乃至1100nmである、請求
    項1記載のメモリ/ロジック混合デバイス。
JP33006097A 1996-12-20 1997-12-01 シングル・チップでdramマクロとロジック・コアを統合したシステム Expired - Lifetime JP3320349B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/770,364 US5790839A (en) 1996-12-20 1996-12-20 System integration of DRAM macros and logic cores in a single chip architecture
US08/770364 1996-12-20

Publications (2)

Publication Number Publication Date
JPH10189889A true JPH10189889A (ja) 1998-07-21
JP3320349B2 JP3320349B2 (ja) 2002-09-03

Family

ID=25088305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33006097A Expired - Lifetime JP3320349B2 (ja) 1996-12-20 1997-12-01 シングル・チップでdramマクロとロジック・コアを統合したシステム

Country Status (4)

Country Link
US (1) US5790839A (ja)
JP (1) JP3320349B2 (ja)
KR (1) KR100268745B1 (ja)
TW (1) TW353178B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727532B2 (en) 2001-08-09 2004-04-27 Renesas Technology Corp. Semiconductor integrated circuit device
US7519774B2 (en) 2004-05-28 2009-04-14 Renesas Technology Corp. Data processor having a memory control unit with cache memory
US7570535B2 (en) 2004-01-28 2009-08-04 Nec Electronics Corporation Semiconductor integrated circuit device having memory macros and logic cores on board
US8400869B2 (en) 2010-02-26 2013-03-19 Elpida Memory, Inc. Semiconductor memory module

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995035572A1 (en) * 1994-06-20 1995-12-28 Neomagic Corporation Graphics controller integrated circuit without memory interface
US6670640B1 (en) * 1994-09-15 2003-12-30 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US6453409B1 (en) * 1996-11-07 2002-09-17 Yamaha Corporation Digital signal processing system
US6088761A (en) * 1997-03-31 2000-07-11 Sun Microsystems, Inc. Reduced pin system interface
US8489861B2 (en) * 1997-12-23 2013-07-16 Round Rock Research, Llc Split embedded DRAM processor
US6094624A (en) * 1997-08-19 2000-07-25 Chao; Nathan Electronic test facility
AU9798798A (en) * 1997-10-10 1999-05-03 Rambus Incorporated Power control system for synchronous memory device
US6154821A (en) * 1998-03-10 2000-11-28 Rambus Inc. Method and apparatus for initializing dynamic random access memory (DRAM) devices by levelizing a read domain
US6192092B1 (en) * 1998-06-15 2001-02-20 Intel Corp. Method and apparatus for clock skew compensation
US6331977B1 (en) * 1998-08-28 2001-12-18 Sharp Electronics Corporation System on chip (SOC) four-way switch crossbar system and method
US6237130B1 (en) * 1998-10-29 2001-05-22 Nexabit Networks, Inc. Chip layout for implementing arbitrated high speed switching access of pluralities of I/O data ports to internally cached DRAM banks and the like
US6330645B1 (en) 1998-12-21 2001-12-11 Cisco Technology, Inc. Multi-stream coherent memory controller apparatus and method
US6446016B1 (en) * 1999-12-08 2002-09-03 Intel Corporation Sizing and insertion of decoupling capacitance
JP3866478B2 (ja) * 2000-03-28 2007-01-10 株式会社東芝 半導体集積回路
US6356470B1 (en) * 2000-04-29 2002-03-12 Hewlett-Packard Company Dual input, hot swappable dual redundant, enhanced N+1 AC to DC power system
US6525413B1 (en) * 2000-07-12 2003-02-25 Micron Technology, Inc. Die to die connection method and assemblies and packages including dice so connected
US6530006B1 (en) * 2000-09-18 2003-03-04 Intel Corporation System and method for providing reliable transmission in a buffered memory system
US6523159B2 (en) 2001-01-16 2003-02-18 International Business Machines Corporation Method for adding decoupling capacitance during integrated circuit design
DE10128238C1 (de) * 2001-06-11 2002-11-28 Infineon Technologies Ag Verfahren zum Verknüpfen von logikbasierten Schaltungseinheiten und speicherbasierten Schaltungseinheiten und Schaltungsanordnung
US20030037271A1 (en) * 2001-08-15 2003-02-20 Dean Liu Reducing clock skew by power supply isolation
US6525982B1 (en) * 2001-09-11 2003-02-25 Micron Technology, Inc. Methods of programming and circuitry for a programmable element
US7818356B2 (en) 2001-10-29 2010-10-19 Intel Corporation Bitstream buffer manipulation with a SIMD merge instruction
KR100464411B1 (ko) 2002-04-19 2005-01-03 삼성전자주식회사 분할된 디커플링 커패시터를 이용한 전원선 잡음 제거회로 및 이를 구비하는 반도체 장치
US6941292B2 (en) * 2002-11-22 2005-09-06 International Business Machines Corporation Method and system for optimizing data searches in tree structures
KR100562497B1 (ko) 2003-01-22 2006-03-21 삼성전자주식회사 디커플링 커패시터를 포함하는 반도체 메모리 장치
US6850460B1 (en) 2004-05-12 2005-02-01 International Business Machines Corporation High performance programmable array local clock generator
JP2006324592A (ja) * 2005-05-20 2006-11-30 Nec Electronics Corp 半導体集積回路装置
US7941682B2 (en) 2007-05-09 2011-05-10 Gainspan, Inc. Optimum power management of system on chip based on tiered states of operation
KR20100003911A (ko) * 2008-07-02 2010-01-12 삼성전자주식회사 3차원 메쉬 기반 전력분배 네트워크를 갖는 멀티 칩 패키지및 이의 전력분배 방법
US8332552B2 (en) * 2008-11-13 2012-12-11 International Business Machines Corporation Supporting multiple high bandwidth I/O controllers on a single chip
US8051228B2 (en) * 2008-11-13 2011-11-01 International Business Machines Corporation Physical interface macros (PHYS) supporting heterogeneous electrical properties
US20140098880A1 (en) * 2012-10-05 2014-04-10 Qualcomm Incorporated Prediction mode information upsampling for scalable video coding
US9087841B2 (en) 2013-10-29 2015-07-21 International Business Machines Corporation Self-correcting power grid for semiconductor structures method
JP6245295B2 (ja) * 2016-03-15 2017-12-13 日本電気株式会社 集積回路、その設計方法、設計装置、設計プログラム
GB2617790B (en) * 2019-03-20 2024-03-13 V Nova Int Ltd Low complexity enhancement video coding
US10978117B2 (en) 2019-03-26 2021-04-13 Micron Technology, Inc. Centralized placement of command and address swapping in memory devices
US10811057B1 (en) * 2019-03-26 2020-10-20 Micron Technology, Inc. Centralized placement of command and address in memory devices
US10811059B1 (en) 2019-03-27 2020-10-20 Micron Technology, Inc. Routing for power signals including a redistribution layer
US11031335B2 (en) 2019-04-03 2021-06-08 Micron Technology, Inc. Semiconductor devices including redistribution layers
WO2020250599A1 (ja) * 2019-06-12 2020-12-17 ソニー株式会社 画像処理装置および方法
CN114710661A (zh) * 2019-09-20 2022-07-05 杭州海康威视数字技术股份有限公司 一种解码、编码方法、装置及其设备
CN114731409A (zh) * 2019-09-20 2022-07-08 韩国电子通信研究院 图像编码/解码方法和装置、以及存储比特流的记录介质
CN112106362A (zh) * 2019-09-30 2020-12-18 深圳市大疆创新科技有限公司 可移动平台的图像处理方法、装置、可移动平台及介质
MX2022005905A (es) * 2019-11-15 2022-06-24 Hfi Innovation Inc Metodo y aparato para se?alizacion de compensacion de movimiento envolvente horizontal en la codificacion de video vr360.
WO2021100863A1 (en) * 2019-11-22 2021-05-27 Sharp Kabushiki Kaisha Systems and methods for signaling tiles and slices in video coding
KR20220146647A (ko) * 2020-05-19 2022-11-01 구글 엘엘씨 품질-정규화된 비디오 트랜스코딩을 위한 동적 파라미터 선택
US11948625B2 (en) 2021-09-09 2024-04-02 Winbond Electronics Corporation Systems on chips, memory circuits, and methods for accessing data in a memory circuit directly using a transistor-level operation signal

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5077676A (en) * 1990-03-30 1991-12-31 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
US5298866A (en) * 1992-06-04 1994-03-29 Kaplinsky Cecil H Clock distribution circuit with active de-skewing
US5537498A (en) * 1993-03-05 1996-07-16 Cray Research, Inc. Optical clock distribution system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727532B2 (en) 2001-08-09 2004-04-27 Renesas Technology Corp. Semiconductor integrated circuit device
US7570535B2 (en) 2004-01-28 2009-08-04 Nec Electronics Corporation Semiconductor integrated circuit device having memory macros and logic cores on board
US7519774B2 (en) 2004-05-28 2009-04-14 Renesas Technology Corp. Data processor having a memory control unit with cache memory
US7783827B2 (en) 2004-05-28 2010-08-24 Renesas Technology Corp. Data processor having a memory controller with cache memory
US8032715B2 (en) 2004-05-28 2011-10-04 Renesas Electronics Corporation Data processor
US8400869B2 (en) 2010-02-26 2013-03-19 Elpida Memory, Inc. Semiconductor memory module

Also Published As

Publication number Publication date
TW353178B (en) 1999-02-21
JP3320349B2 (ja) 2002-09-03
KR19980063507A (ko) 1998-10-07
KR100268745B1 (ko) 2000-10-16
US5790839A (en) 1998-08-04

Similar Documents

Publication Publication Date Title
JP3320349B2 (ja) シングル・チップでdramマクロとロジック・コアを統合したシステム
JP4212257B2 (ja) 半導体集積回路
KR100429945B1 (ko) 반도체집적회로장치
JP4662740B2 (ja) 積層型半導体メモリ装置
US7638871B2 (en) Semiconductor device
JPH05274859A (ja) 記憶装置及びこれにアクセスする方法
EP1347457A2 (en) Synchronous controlled, self-timed local SRAM block
US20080298137A1 (en) Method and structure for domino read bit line and set reset latch
JP2001094069A (ja) 半導体記憶装置
US5801996A (en) Data path for high speed high bandwidth DRAM
KR100294965B1 (ko) 입/출력장치의구성방법및그회로
Pilo et al. A 5.6-ns random cycle 144-Mb DRAM with 1.4 Gb/s/pin and DDR3-SRAM interface
US5517442A (en) Random access memory and an improved bus arrangement therefor
Watanabe et al. A 286 mm/sup 2/256 Mb DRAM with/spl times/32 both-ends DQ
JP3345282B2 (ja) 半導体集積回路装置の設計方法
US6044433A (en) DRAM cache
US5787041A (en) System and method for improving a random access memory (RAM)
US7403408B2 (en) Semiconductor memory device and semiconductor device
JPH08255479A (ja) 半導体記憶装置
JP4474424B2 (ja) 半導体集積回路装置
KR100380023B1 (ko) 단변 방향의 칩 사이즈를 줄일 수 있는 반도체메모리장치
KR20010002116A (ko) 스태틱 랜덤 액세스 메모리를 다이내믹 랜덤 액세스 메모리와 로직회로 사이에서 버퍼로 사용하는 반도체 집적회로
KR100412993B1 (ko) 동기식 반도체 메모리 소자
JP3722307B2 (ja) 半導体集積回路
WO2008108775A2 (en) Dynamic partitioning for area-efficient multi-port memory

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090621

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100621

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110621

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110621

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120621

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120621

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130621

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term