JPH10125762A - 基体処理装置および基体処理方法 - Google Patents

基体処理装置および基体処理方法

Info

Publication number
JPH10125762A
JPH10125762A JP9181147A JP18114797A JPH10125762A JP H10125762 A JPH10125762 A JP H10125762A JP 9181147 A JP9181147 A JP 9181147A JP 18114797 A JP18114797 A JP 18114797A JP H10125762 A JPH10125762 A JP H10125762A
Authority
JP
Japan
Prior art keywords
substrate
processing
stage
load lock
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9181147A
Other languages
English (en)
Inventor
Masato Toshima
正人 外島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gamma Precision Technology Inc
Original Assignee
Gamma Precision Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Gamma Precision Technology Inc filed Critical Gamma Precision Technology Inc
Publication of JPH10125762A publication Critical patent/JPH10125762A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • Y10S414/138Wafers positioned vertically within cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 【課題】 基体の移載と処理を平行に行って作業効率を
向上させ得ると共に、コストが低く、また基体の処理環
境内における基体の汚染を発生させないシステムおよび
その使用方法を提供する。 【解決手段】 基体92を処理する処理室22内に移載
ブレード60を設ける。ロードロック室20を前記処理
室22と連設する。スライダ60が前記処理室22へ連
設されている。前記移載ブレード60が前記処理室22
と前記ロードロック20室との間をスライダ60を介し
て移動可能になり、前記移載ブレード60は実質的に前
記処理室22内で基体92を処理する間に、基体92を
前記移載ブレード60が前記処理室22と前記ロードロ
ック室20との間で移載可能である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に半導体の
製造に関し、より詳細には他の基体がロードロック室と
処理室との間で移動する間に基体上のレジストを除去す
るなどの処理を行う基体処理装置および基体処理方法に
関する。基体の移動と処理を平行して行うので別々の工
程で行う場合と比較して効率を向上させることができ
る。
【0002】
【背景技術】半導体の製造工程では、通常ウエハ表面に
フォトレジストからなる感光性フィルムの層が形成さ
れ、マスクを介して強い光で露光される。露光されたフ
ォトレジストは現像液によって溶解され、ウエハから除
去される。現像後に残ったフォトレジストのパターン
は、他の部分にエッチングやインプラントが行われる際
に、フォトレジストで覆われたウエハの部分のエッチン
グやインプラントを防止する。一旦、エッチングやイン
プラントの処理が終了したら、残ったフォトレジストは
ウエハ表面から除去される。図1にウエハ表面からフォ
トレジストを除去可能な従来の化学的蒸着システム(C
VD)100を示す。従来のシステムは、ロードロック
室125と処理室105を有する。1個のプラテン13
5を持つ複雑なロボット130、ウエハを保持する第1
のカセット140、第2のカセット150がロードロッ
ク室125内に配設されている。処理室105内には、
共通のセンター120に一端が連結された6個のフィン
110a〜110fが設けられている。各フィン110
a〜110fの他端は処理ステージ115a〜115f
へ連繋されている。処理室105内には6個の処理ステ
ージ115a〜115fが配設されている。
【0003】上記の従来のシステム100において、タ
クトタイムは処理時間(通常は約15秒)と、搭載に係
る時間(通常は約27秒)の和で42秒である。処理時
間はウエハが処理室105内の各ステージ(例えばステ
ージ115a)で費やす時間であり、搭載時間はロード
ロック室125と処理室105との間で新たな(未処理
の)ウエハまたは処理済のウエハを受け渡す時間であ
る。従来のシステムにおいて、ウエハが処理されている
ときはウエハの搭載はできない。逆も同じでありウエハ
の搭載作業中はウエハの処理ができない。従って、従来
のシステム100において、ウエハの処理および搭載は
別工程で行われる。
【0004】通常のウエハの搭載工程は次の手順で行わ
れる。簡単に説明するため、フィンアセンブリ(全ての
フィン110a〜110fを含む)の動作に代えて1個
のフィンの動作について述べる。ロボット130がプラ
テン135と共にフィン110a方向へ移動する間、フ
ィン110aは上動する。フィン110aが下動して処
理済のウエハをロボット130のプラテン135上へ移
載する。その後、ロボット130は戻り、第1のカセッ
ト140または第2のカセット150方向へ回動する。
ロボット130が第1のカセット140の方へ回動した
ら、ロボット130はプラテン135を第1のカセット
140方向へ延出する。ロボット130は位置合わせを
し、処理済のウエハを第1のカセット140内へ戻す。
その後、ロボット130は戻り、第1のカセット140
の次のウエハスロットに位置を合わせる。ロボット13
0はプラテン135を第1のカセット140方向へ延出
する。ロボット130は位置を合わせ、次の新しいウエ
ハをプラテン135上へ移載する。それからロボット1
30は戻り、フィン110a方向へ回動する。ロボット
130はプラテン135をフィン110aの方へ延出す
る。アセンブリを含むフィン110aは新たなウエハを
受領するため上動する。ウエハがフィン110aへ移載
された後、ロボット130は戻る。フィン110aは、
処理ステージ115bの方へ回動し、下動する。それに
よりフィン110aは、フィン110bが位置していた
位置へ進む。すなわち、6個全てのフィン110a〜1
10fは、共通のセンター120に取り付けられている
ので、6個全てのフィン110a〜110fは、反時計
方向に回動する。フィン110aが下動すると、ウエハ
は処理ステージ115b上で処理される。処理済のウエ
ハを処理室からロードロック室へ移載し、カセット14
0または150へ収容し、新たなウエハを処理室へ移載
する一連の工程は通常、約27秒かかる。この移載工程
の間、全てのウエハ処理は停止している。新たなウエハ
が処理室105へ移載されると、ウエハの処理が再開さ
れる。処理時間は通常、約15秒かかる。ウエハが処理
室105内で処理されている間、ロボット130は停止
しており、ロードロック室125と処理室105の間で
のウエハの移載は行われない。2番目のウエハが処理室
105へ移載されると、処理ステージ115b上の最初
のウエハは処理ステージ115cへ移動され、新たなウ
エハが処理ステージ115b上に載置される。
【0005】
【発明が解決しようとする課題】しかしながら、上記の
従来の移載システムには次のような課題がある。ウエハ
の移載工程の間、処理室105内のウエハの処理は停止
しなければならない。ウエハがロードロック室125と
処理室105の間で移載される間に平行してウエハの処
理が行われないので作業効率が非常に低下するという課
題がある。処理室内の複数の処理ステージの内1個を移
載ステーションとして単独に使用すると、作業効率の低
下を招くのは当然である。そこで、従来の解決方法とし
ては6個全てのステージを処理ステージとして使用し、
ウエハの処理をウエハの移載とは別の工程にしている。
ウエハの処理環境内に複雑なロボットを配置するとウエ
ハの汚染の問題が発生する。そこで、複雑なロボット機
構はロードロック室内に配設され、ウエハの処理を行う
処理室内には配設されていない。従って、本発明は、処
理室内に移載機構を設けることにより、基体の搬出入を
効率よく行うことができ、また基体の移載と処理を平行
に行って作業効率を向上させ得ると共に、コストが低
く、また基体の処理環境内における基体汚染を発生させ
ない基体処理装置および基体処理方法を提供することを
目的とする。
【0006】
【課題を解決するための手段】上記課題を解決するた
め、本発明では基体が収納されるロードロック室と、該
ロードロック室と連接され、ロードロック室から搬入さ
れる基体を処理する処理室と、該処理室内に配設されて
既処理の基体と未処理の基体とを処理室とロードロック
室との間で搬出入する移載ブレードを含む基体搬出入手
段とを具備することを特徴としている。本発明では、処
理室とロードロック室の間の基体の搬出入が移載ブレー
ドの1往復の移動だけで行え、従来の移載システムの2
往復の前後動プラス1往復の回転動に比べて搬出入時間
を短縮できて好適である。前記基体搬出入手段を、実質
的に前記処理室で基体が処理されている間に、既処理の
基体と未処理の基体を処理室とロードロック室との間で
搬出入するようにするとさらに処理時間の短縮ができて
好適である。
【0007】また、前記処理室は待機ステージと処理ス
テージとを具備し、更に該待機ステージと処理ステージ
との間で基体を移載する基体移載手段が設けられ、前記
基体搬出入手段は、前記待機ステージ上の既処理の基体
を前記ロードロック室に搬出し、ロードロック室内の未
処理の基体を待機ステージ上に搬入し、前記基体移載手
段は、処理ステージでの基体処理後、搬入されている未
処理の基体を処理ステージに、処理ステージで処理され
た基体を前記待機ステージに移載するようにすると好適
である。さらに、前記処理ステージを複数設け、前記基
体移載手段は、基体を、各ステージ間で一斉に移載させ
るようにすると好適である。前記基体搬出入手段は、前
記基体を載置可能な前記移載ブレードと、該移載ブレー
ドを前記ロードロック室と処理室との間に亘り移動させ
るブレード移動手段とで構成できる。
【0008】前記ブレード移動手段は、前記移載ブレー
ドが固定された第1のマグネットと、前記処理室外に位
置し第1のマグネットとの間で磁気カップリングを構成
する第2のマグネットとを具備し、該第2のマグネット
の移動に応じて前記第1のマグネットおよび移載ブレー
ドが移動するようにすることで、移載ブレードを外部か
ら非接触で操作でき、動力を大気側から処理室に導入す
るときに起こりやすい異物の発生や真空の漏れを防止で
きる。前記ロードロック室と前記処理室とを真空装置に
接続し、前記ロードロック室をゲートバルブを介して大
気に連通するとともに、スリットバルブを介して前記処
理室に連通するように構成できる。前記基体移載手段
は、前記待機ステージと複数の処理ステージに対応し
て、一端が共通のセンターハブへ連結され、他端側で基
体を載置可能な複数のフィンと、該センターハブを回転
させる回転手段と、センターハブを上下動させる上下動
手段とを具備し、該回転手段と上下動手段によりセンタ
ーハブが回転、上下動されることにより、フィンに載置
された基体が各ステージ間を一斉に移動させるようにす
ることができる。前記ロードロック室には、複数の基体
を保持すべく複数の棚部を有する基体ホルダを配設する
と好適である。
【0009】前記処理ステージに対応する複数のプラズ
マ発生機を設けることができる。前記各プラズマ発生機
は、第1の端部と第2の端部を有する誘導コイルと、該
誘導コイル内に配設されたチューブとを具備し、該チュ
ーブの第1の端部からガスが導入され、第2の端部から
プラズマが前記処理ステージに放出されるようにして、
ウエハ上のレジスト除去装置等に構成できる。少なくと
も2個の前記プラズマ発生機を対に構成することができ
る。対をなす前記プラズマ発生機は、同一巻き数を持ち
各チューブに巻回された一対の誘導コイルが並列に接
続、かつ結合され、該結合された誘導コイルの第1の端
部が高周波チューニング用コンデンサの第1の端部へ接
続され、前記結合された誘導コイルの第2の端部が接地
され、前記高周波チューニング用コンデンサの第2の端
部が接地されるようにすると好適である。また、前記並
列に結合された誘導コイルの一方は、対をなす前記チュ
ーブの一方に対して全巻き数の半数分だけ巻回され、残
りの半数は他方のチューブに対して巻回され、同様に他
方の誘導コイルは、前記他方のチューブに対して全巻き
数の半数分だけ巻回され、残りの半数は前記一方のチュ
ーブに対して巻回されており、その際に各チューブに対
して前記一対の誘導コイルが交互に巻回されるようにす
ると好適である。
【0010】
【発明の実施の形態】以下、本発明の好適な実施の形態
について添付図面と共に詳述する。移載システムとその
使用方法について、例えば処理室内で1個の基体である
ウエハ表面からレジストを除去する処理を、他のウエハ
がロードロック室と処理室との間で移載される間に実質
的に同時に行う例を挙げて説明する。本発明の理解のた
めに、例えば磁気連動機構やプラテンと連繋された真空
チャック等については詳しく説明する。しかし、本発明
の実施に関して当業者に自明な事項については詳しくは
説明しない。更に、周知の半導体装置や本発明の理解に
必要ではないと判断されるような処理についても詳しく
説明しない。
【0011】図2は、基体であるウエハがロードロック
室と処理室との間で移載される間に実質的同時に他のウ
エハ表面のフォトレジストを除去する処理を行う装置お
よび方法を示している。本発明に係る実施形態の側面図
を図2、図3、図4に示す。ロードロック室20は、ウ
エハの処理を行う処理室22と接続されている。複数の
棚部を有するウエハホルダ(基体ホルダ)24がロード
ロック室20内に配設されている。ウエハホルダ24
は、ロボット26と処理室22との間で移載される前の
ウエハを保持するための複数の棚部を有している。好適
な実施形態では、ウエハホルダ24は2個の収納部を持
ち、各収納部には25個の棚部を設け、各収納部は25
枚のウエハを保持可能である。
【0012】図3に示すように、ロボット26は、ロー
ドロック室20外に在るカセット28から新しい(処理
室22内で処理されていない)ウエハを搬送する。好適
な実施形態によれば、ロボット26は25個のプラテン
36a〜36yを有し、プラテン36a〜36yはカセ
ット28から25枚のウエハを同時に受領可能になって
いる。各プラテン36a〜36yは、対応する各バキュ
ームライン37a〜37yに接続されている。各バキュ
ームライン37a〜37yは、バルブ41と真空ポンプ
43に接続されているマニホールド39へ接続されてい
る。図3に示すように、空圧回転アクチュエータ38は
ロボット26をロードロック室20のゲートバルブ34
とカセット28との間で回動させる。すなわち、ロボッ
ト26は上下動可能に支持された支持部38aに対して
回転自在に設けられた回転体38bに後記する水平動機
構を介して取り付けられ、この回転体38bが空圧回転
アクチュエータ38により回転させられることで軸線を
中心として回転可能である。ウエハがウエハホルダ24
とカセット28との間で移載される間はいつでもゲート
バルブ34は開放されている。
【0013】伸縮空圧アクチュエータ40はロボット2
6をカセット28またはウエハホルダ24方向へ伸長さ
せてウエハを受領または移載する。すなわち、ロボット
26は、レール40aに沿って水平動可能に設けられた
支持部材40bに取り付けられ、この支持部材40bに
伸縮空圧アクチュエータ40により回転されるロッド4
0cが長孔40dを介して連結されている。ロッド40
cが図示のごとく円弧回転するとロボット26が水平動
することが理解される。レール40a、支持部材40
b、ロッド40c、長孔40d等が水平動機構を構成す
る。最後に上下動空圧アクチュエータ42はロボット2
6を上下に動かすことにより、カセット28に収納され
ている1ないし25枚のウエハをプラテン36a〜36
yとの間で移載することができる。すなわち、前記した
支持部38aはスライドガイド42aにより基台に対し
て上下動可能に設けられていて、この支持部38aに上
下動空圧アクチュエータ42のロッドが連結されて支持
部38aが上下に駆動される。これによりロボット26
が上下動可能となる。従来のロボットと異なり、ロボッ
ト26は同時に25枚のウエハをカセット28から受領
可能であり、その25枚のウエハを全部ウエハホルダ2
4へ移載可能である。ロボット26とウエハホルダ24
との間のウエハの受渡しは、ウエハホルダ24の上下動
によって行われる。ロボット26はこの逆の動作も行う
ことができる。従って、ロボット26は同時に複数のウ
エハを移載できるので効率を上げることができる。
【0014】図3において、ロードロック室20には空
圧アクチュエータ32に駆動されるウエハセンタリング
機構30が設けられている。空圧アクチュエータ32
は、ウエハがウエハホルダ24から処理室22へ移載さ
れる前に、その都度ウエハをウエハホルダ24内でセン
タリングするための4本のセンタリングバー31a〜3
1dを駆動する。センタリングバー31a〜31dは4
本の垂直バーであり、4本の回転軸上で同期して駆動さ
れる(図6のハッチング位置から破線位置に一斉に回動
してウエハをセンタリングする)。更に、ロードロック
室20には大気と遮断するためのゲートバルブ34と、
処理室22と遮断するためのスリットバルブ52(図4
参照)が設けられている。ゲートバルブ34はスライダ
式に設けられ、ロードロック室20に開口されたウエハ
搬入口を開閉する。ゲートバルブ34は、ウエハが処理
室22内で処理されている間は閉塞されている。ゲート
バルブ34の上下動は、空圧アクチュエータ44による
(図3参照)。またスリットバルブ52は空圧アクチュ
エータ52aによりスリットを開閉するように駆動され
る。更に、モータ46は、直動バキュームシール48を
経由してウエハホルダ24の上下動を制御する。すなわ
ち、モータ46によってプーリを介して上下動される移
動体46bに直動ガイド45を介してウエハホルダ24
が連結され、これによりウエハホルダ24が上下動され
る。直動ガイド45はウエハホルダ24の上下動をガイ
ドする。
【0015】図4において、ウインドウ58を通して処
理室22内のウエハ搬送状況を見ることができる。処理
室22内には移載ブレード60が配設されており、空圧
アクチュエータ50により移載ブレード60が処理室2
2内に位置する位置と、ロードロック室20内に進入す
る位置との間に亙って移動される。空圧アクチュエータ
50は、磁気連動機構と連繋され、磁気連動機構は処理
室22内に配された第1マグネット54と、処理室22
外に配された第2マグネット56とから成る。本実施形
態では、第1マグネット54は、第1の直動ベアリング
55と連繋され、第2マグネット56は、第2の直動ベ
アリング57と連繋されている。移載ブレード60の一
端はステー60aを介して第1マグネット54に連結さ
れている。従来のシステムと異なり、ウエハ移載ブレー
ド60は処理室22内に配設され、ウエハの処理と実質
的同時または平行してウエハを移載することができる。
【0016】汚染の可能性を低下させるために、ウエハ
移載ブレード60は、複雑なギアや機械的構成に代わっ
て簡単な磁気連動機構により駆動される。本実施形態で
は、、第1マグネット54と第2マグネット56とで磁
気カップリング機構をなし、第2マグネット56が動く
と、第1マグネット54も動く。第1マグネット54は
薄いアルミニウム製のステー60aを介してウエハ移載
ブレード60と連繋しているので、ウエハ移載ブレード
60も動くことができる。すなわち、空圧アクチュエー
タ50が駆動されるとアーム50aが図示の円弧のごと
く回転され、アーム50aを介して連繋している第2マ
グネット56が第2の直動ベアリング57に沿って移動
することが理解される。空圧アクチュエータ50、第1
マグネット54、第2マグネット56、第1の直動ベア
リング55、第2の直動ベアリング57等によりブレー
ド移動手段の一例を構成する。このブレード移動手段と
移載ブレード60とで基体搬出入手段を構成する。な
お、ブレード移動手段は、本発明の範囲内において他の
機構で駆動してもよい。スリットバルブ52が開放され
ると、ウエハ移載ブレード60はロードロック室20内
へ進入可能になり、新しいウエハを受領または処理済ウ
エハをウエハホルダ24の棚部へ格納可能となる。
【0017】好適な実施形態では、処理室22内に7個
のフィン62a〜62gが配設されている(図6)。図
4には2枚のフィン62a、62cのみを図示する。各
フィン62a〜62gはセンターハブ64へ連結されて
いる。センターハブ64は、駆動軸74、ベローズ7
6、回転バキュームシール78と接続されている。従っ
て、全てのフィン62a〜62gは同時に回動および上
下動可能になっている。フィン62a〜62gのひとつ
のウエハステージ86から他のウエハステージへの回動
はフィン回動モータ(回転手段)80により制御され
る。6個のウエハステージ(処理ステージ)86a〜8
6f(図4にはステージ86cのみ図示)が設けられ、
これらは処理室22内でウエハ移載ブレード60が位置
する待機ステージと共に周方向へ等間隔に配置されてい
る。更に、フィン62の上下動、すなわち上動して第1
のウエハステージから離反し、下動して第2のウエハス
テージへ接近する動作は空圧アクチュエータ82により
行われる。すなわち、センターハブ64が軸線を中心と
して回転自在に取り付けられている支持台64aが空圧
アクチュエータ82により上下動される。各ウエハステ
ージ86a〜86fにはそれぞれステージコントローラ
84a〜84fが設けられているが、図4にはステージ
コントローラ84cのみ図示する。ウエハ92はウエハ
ステージ86上に図示されている。フィン62a〜62
g、センターハブ64、フィン回動モータ80等の上記
部材により基体移載手段の一例が構成される。
【0018】処理室22のチャンバー内壁の温度が処理
によって変わることがある。処理室22の温度は、加熱
・冷却チャネル88a、88bに冷却水または温水を通
すことによって制御することができる。チャネル88a
は処理室22の底面を加熱・冷却することができ、チャ
ネル88bは処理室22の上面を加熱・冷却することが
できる。覗き窓90からは、ウエハの処理中における処
理室22内のプラズマの色やウエハの移載動作の確認が
できる。プラズマ発生機は各々ウエハ処理ステージ86
a〜86fの上方に配設されると共に、高周波シールド
66内に配置されている。プラズマ発生機は6個の石英
製プラズマチューブを具備するが図4には石英製プラズ
マチューブ611、612のみ図示する。高周波シール
ド66は鋼またはアルミニウムで形成すればよい。ガス
導入路72はプラズマチューブ612に連絡している。
プラズマチューブには2個のプラズマチューブを連繋す
る付勢コイル70または誘導コイル70が巻回されてい
る。プラズマ発生機の平面図を図7に示し、その回路例
を図8に示す。高周波チューニングコンデンサ68が2
個のプラズマ発生機の間に配設されている。
【0019】ウエハホルダ24の平衡機構の例を図5に
示す。平衡機構は、パワー断(停電等)の状態において
ウエハホルダ24内部の50枚以下のウエハが破損した
り落下したりするのを防ぐ。ウエハホルダ24はアルミ
ニウムで形成されている。これは従来のプラスチック製
カセットでは処理室22で加熱されたウエハの熱によっ
て溶けてしまうからである。更に、プラスチックカセッ
トでは、水分吸着量が大きく、ロードロック室が大気開
放されたときの大気中の水分が吸収され、真空のとき
に、吸着水分が放出される。これは逆に処理室内の環境
に影響する。アルミニウム製の棚部を有するアルミニウ
ム製のウエハホルダ24を使うとガス放出の量が低減す
る。プラスチックカセットを使用するよりアルミニウム
製のウエハホルダ24を使用する方が機械的な精度を容
易に制御できる。
【0020】図5において、ウエハホルダ24の平衡機
構は重さ約15〜20ポンドの鉛の錘96を含む。この
重さはウエハホルダ24とウエハホルダ24内のウエハ
の重量の和に略等しい。ドライブプーリ94はモータ4
6に取り付けられ、モータはウエハホルダ24の上下動
を制御する。直動シール48による摩擦力によって、パ
ワー断になってもウエハホルダ24の位置が保持され
る。これによりパワー断の際にウエハが損傷することも
なく経済面でも効果がある。処理済のウエハがウエハホ
ルダ24に収容されている場合、特に有効である。一
方、従来のシステムではウエハホルダはパワー断の際に
自重で落下してしまい、もしブレード60がウエハホル
ダ24に挿入されたままの場合、その上方のウエハを損
傷してしまうので経済的損失が大きい。
【0021】図6には図2のライン4に沿ったウエハ移
載システムの断面図を示す。本実施形態では2個のカセ
ット28a、28bが設けられ、各カセットは25枚の
ウエハを保持可能である。ロボット26はホームポジシ
ョン400からプラテン36a〜36yがウエハホルダ
24あるいはカセット28に延出した位置401まで往
復動可能である。ロボット26はまた、ウエハホルダ2
4、カセット28a、28bとの間で回転運動が可能で
ある。各プラテン(図3のプラテン36a〜36y)
は、それぞれ搬送中にウエハを保持する真空チャック2
7a〜27yが設けられている。
【0022】図示のウエハ92はウエハ移載ブレード6
0上とフィン62a上に在る。本実施形態では、ウエハ
移載ブレード60はロードロック室20と処理室22と
の間を前後に移動する。7個全てのフィン62a〜62
gは、共通のセンターハブ64に接続されており、7個
全てのフィン62a〜62gは、同期して反時計方向へ
回動すると共に、全て同時に垂直方向(上下方向)へ移
動することが可能である。各ステージ86a〜86f
は、それぞれ独立した温度制御手段を有する。従って、
全てのステージ86a〜86fを同じ温度に設定した
り、全てのステージ86a〜86fを全く異なった温度
にすることができる。各フィン62は端部(センターハ
ブ64に接続されていない端部)上に載置されたウエハ
92と共に上動し、反時計方向へ回動して次のステージ
86へ接近した後、ウエハ92と共に下動可能である。
従って、ウエハ92を他のステージ86上に載置でき
る。更に、4個のセンタリングバー31a〜31dは、
移載前にその都度回動してウエハホルダ24内でウエハ
をセンタリングし、その後速やかに退避する。例えば、
ウエハ移載ブレード60がウエハ92を受領すべくロー
ドロック室20内へ進入する前にセンタリングバー31
a〜31dが互いに接近するよう移動してウエハをセン
タリングし、その後速やかに退避し、次にブレード60
がウエハを移載する。
【0023】図7にはプラズマ発生機の断面図を示す。
この断面図は図2のライン5に沿った断面図である。好
適な本実施形態によれば、プラズマ発生機は6個のプラ
ズマチューブ611〜616を具備し、3対に形成され
ている。各プラズマチューブはウエハ処理ステージの上
方に配設されている。例えば、プラズマチューブ611
は2個の誘導コイル70a、70bを介してプラズマチ
ューブ612と連繋されている。従って2組の誘導コイ
ルが各対のプラズマ発生機に対応している。誘導コイル
70c、70dはプラズマチューブ613と614の間
に配設されている。プラズマチューブ615と616に
誘導コイル70e、70fが対応している。各プラズマ
チュ−ブは、高周波チューニングコンデンサ68および
高周波発生器(不図示)に接続されている。酸素等のレ
ジスト除去用ガスはメイン導入口75から導入され、6
本のガス導入路72a〜72fに分流させるガス分離器
73へ流入する。各ガス導入路72はプラズマチューブ
へ連絡している。誘導コイルが巻回されているプラズマ
チューブをガスが通過すると、ガスは電子、分子、帯電
イオン化核を含む帯電プラズマになる。
【0024】図8にプラズマ発生機の回路構成の一例を
示す。高周波チューナ69は制御モータ603へ接続さ
れた位相角検知器601とチューニングコンデンサ68
を含む。高周波チューナ69は、約700〜1000ワ
ット、13.56MHzの高周波を発生させる高周波発
生器607へ接続されている。本実施形態では高周波発
生器607で発生された高周波電力は、位相角検知器6
01を介して誘導コイル609(例えば誘導コイル70
a、70bと同じ)のグランドに対して約1.5巻(タ
ーン)上方の接続点602へ供給される。位相角検知器
601は、制御モータ603に高周波チューニングコン
デンサ68を制御させ、高周波電流がプラズマに供給さ
れたときに効率を最大にすべく位相角を極小にする。も
し位相角が極小でなければプラズマ発生機の誘導的結合
が効果的に行われない。高周波電力のほんの一部が、プ
ラズマチューブ611、612から発生されたプラズマ
に供給されるのみである。誘導的結合が効果的に行われ
なかった場合、1000ワットの電力が供給された際、
500ワットの電力だけがプラズマチューブに届くこと
になり、プラズマチューブの下方に配されたウエハに作
用する実際の能力は低下する。本実施形態において、位
相角をゼロ(極小)にするチューニングは高周波チュー
ニングコンデンサを調整して行われる。
【0025】従来のシステムと異なり、銅製の誘導コイ
ル609と610は異なった態様で巻回されている。従
来は、同一かつ別個のコイルが用いられ、1個のコイル
が1本のチューブに巻回され、コイルは並列に接続され
る。その結果、もし配線にわずかに幾何学的な相違があ
ったり、プラズマチューブの圧力に僅かな違いがある
と、2本のプラズマチューブのプラズマ強度に差が生じ
てしまう。プラズマ強度の大きな側のコイルのインピー
ダンスは小さい側に比べて低下し、さらに大きな電流が
大きい側のコイルに流れ、差は更に拡大する。その結
果、2本のプラズマチューブからは不均一なプラズマが
発生することになってしまう。2本のプラズマチューブ
の出力差によってプラズマが均一に発生されないためエ
ッチング率も均一にならないので、プラズマチューブの
下方に配設されたウエハの処理効率も低下する。更に、
一方のプラズマチューブにより大きな電力が供給される
ので、供給電力の低い他方のプラズマチューブより劣化
が早くなる。従って、プラズマチューブの交換時期が早
まり、コスト高を招来する。
【0026】一方、本実施形態ではプラズマチューブ6
11と612を誘導的に結合する1本の銅製ワイヤ61
0を使用し、他方の銅製ワイヤ609も両方のプラズマ
チューブを誘導的に結合している。誘導コイル609の
第1の端部は高周波チューニングコンデンサ68へ接続
されている。また、誘導コイル609はプラズマチュー
ブ611に巻回され、クロスオーバーしてプラズマチュ
ーブ612へ巻回され、第2の端部がグランドへ接続さ
れている。誘導コイル610はその逆に巻回、接続され
る。すなわち、誘導コイル610の第1の端部は高周波
チューニングコンデンサ68へ接続され、誘導コイル6
10はプラズマチューブ612に巻回され、クロスオー
バーしてプラズマチューブ611へ巻回され、第2の端
部がグランドへ接続されている。この構成により、2本
のプラズマチューブ611と612の間に僅かな不均一
があっても一方のプラズマチューブに大きな高周波電流
が流れ、強いプラズマが発生することはない。両方の誘
導コイル609と610が等しくプラズマチューブ61
1と612を結合しているので、流れる高周波電流に差
は生じない。従って、両方のプラズマチューブによるウ
エハのエッチング率を均一にでき、早期のプラズマチュ
ーブの交換も不要となる。上記において、並列に結合さ
れた誘導コイルの一方609を、対をなすチューブの一
方611に対して全巻き数の半数分だけ巻回し、残りの
半数は他方のチューブ612に対して巻回し、同様に他
方の誘導コイル610は、他方のチューブ612に対し
て全巻き数の半数分だけ巻回し、残りの半数は一方のチ
ューブ611に対して巻回し、その際に各チューブ61
1、612に対して一対の誘導コイル609、610が
交互に巻回されるようにするとよい。このように、誘導
コイル609と610を2重巻きにすることにより、コ
イル609と610の隣接する線間の交番電圧の差が大
きくなり(一方がハイのとき他方がロー)、これにより
キャパシタンスのカップリングフィールドができ、チュ
ーブ内のプラズマに対する容量性カップリングが減り、
誘導性カップリングが主流になる。これによりチューブ
の器壁に対するアタックが減り、もって基体の汚染が減
少するという利点もある。なお、誘導コイル609はチ
ューブ611にのみ巻回し、誘導コイル610はチュー
ブ612にのみ誘導コイル609と同一巻き数巻回し、
両誘導コイルを並列に接続して結合し、該結合した誘導
コイルの第1の端部を高周波チューニング用コンデンサ
68の第1の端部へ接続し、結合した誘導コイルの第2
の端部を接地し、高周波チューニング用コンデンサ68
の第2の端部を接地するようにして対をなすプラズマ発
生機を構成するようにしてもよい。
【0027】図9に本発明に係る方法の好適な実施形態
を説明するフローチャートを示す。本実施形態におい
て、まずオペレータは制御方式(例えばコンピュータプ
ログラムでもよい)を入力する。ステップ700に示す
ように、オペレータはステージ間で違った温度設定が必
要かどうか判断する。もし、不要と判断したら、オペレ
ータはステップ710のとおり全てのウエハステージを
同一温度に設定する(図10参照)。
【0028】もし、違った温度設定が必要と判断した
ら、オペレータはステップ720のとおり各ウエハステ
ージ毎に温度を設定する(図11参照)。イオン注入
(例えば重砒素ドーピング)後のフォトレジストの除去
は違った温度設定が必要な一例である。6個のウエハス
テージが個々に温度制御手段を有するので、フォトレジ
ストの剥離処理において徐々に加熱できる利点がある。
ウエハの表面が急激な温度上昇に晒されると、レジスト
フィルムの「爆発」が発生し、良い剥離処理を行うこと
ができない。換言すれば、イオン注入後のウエハが前処
理温度より高い温度で急激に加熱されるとフォトレジス
トフィルムは爆発を起こすのである。本実施の形態で
は、ウエハを加熱するためのヒータの温度をステージ毎
に徐々に増大して、ウエハを徐々に加熱することにより
この「爆発」を防止している。平行してオペレータは、
ステップ730に示すようにガスの種類、量(単位:s
ccm(standard cubic centim
eters))等を含むガス流の形式を設定する。
【0029】同じくオペレータは、ステップ740、7
50に示すように高周波電力およびタクトタイムを個々
に設定することができる。本実施形態では供給される高
周波電力は、同じ高周波チューニングコンデンサ68に
接続された2個のウエハステージについて約500〜1
000ワットに設定すればよい。高周波電力の周波数
は、約200KHz〜36GHzである。本実施形態に
よれば、合計3キロワットの電力が供給され、13.5
6MHzの周波数にセットされる。タクトタイムは処理
時間とフィンインデックスタイムの和で定義される。タ
クトタイムは15秒より長く設定すればよい。通常は2
0秒であり、これは従来のシステム100のタクトタイ
ム42秒よりかなり短時間である。処理時間は、ウエハ
が処理ステージ上で処理される時間である。上記のとお
り、フィンインデックスタイムは一のフィンがウエハの
搬送中に第1のステージから上動し、回動して第2のス
テージへ移動し、下動してウエハを第2のステージ上へ
載置するまでの時間である。本実施形態では、フィンイ
ンデックスタイムは5秒である。処理室22内の気圧は
10トールから10-2トールに設定すればよい。本実施
形態では処理室22内の気圧を2トールに設定してい
る。
【0030】各ウエハステージについて個々に温度設定
がされたら、ステップ760に示すようにウエハステー
ジを加熱する。本実施形態ではオペレータが1個から2
5個のウエハをカセットに収納している。オペレータは
2個のカセットを使用してもよい。オペレータは、25
枚のウエハを1個のカセットに入れてもよいし、1枚の
ウエハを入れるだけでもよい。もし、オペレータが1枚
のウエハをカセットの最上段の棚部に入れ、もう1枚の
ウエハを当該カセットの最下段の棚部に入れれば、シス
テムはタクトタイムを増大させないよう空の棚部にウエ
ハが在ると判断する。1枚のウエハが処理室22内で費
やす時間は正確に制御されなければならない。ウエハが
必要以上長時間プラズマにさらされると損傷が起こるか
らである。そこで、本実施の形態では、カセット内に2
枚のウエハが在るか、25枚のウエハが在るかに関わら
ずウエハが同じ時間で処理できるよう設計されている。
【0031】オペレータが制御方式を入力し、ステップ
752でシステムのスタートを指示し、ステップ754
に示すようにウエハを1個または2個のカセットからロ
ードロック室20へ移載させる。そして、ステップ76
0のとおり、処理ステージを加熱するヒータにウォーム
アップを指示する。更に、ステップ756に示すように
ロードロック室20内の気圧を減圧する。ステップ77
0において、システムは各処理ステージが設定温度に達
したかどうかチェックする。もし、設定温度に達してい
た場合(YESの場合)、システムはステップ780の
とおりガスをプラズマチューブへ導入する。本実施例で
はガスは、90%の酸素(O2 )と10%の窒素
(N2 )とから成る。他の例としては、例えば90%の
2 と10%のCF4 とから成るガスを使用することも
できる。本システムは予め設定されたとおり自動的にオ
ペレーションを行う。この自動制御については設定も含
め、予め設定されたソフトウエアで制御してもよい等、
本発明の範囲を逸脱しない範囲で種々の選択が可能であ
る。
【0032】ステップ754の移載工程について詳細に
説明する。ロボット26が第1のカセット方向へ回動
し、25個のプラテンを第1のカセット内へ延出して例
えば25枚のカセットを取り上げる。ウエハに接触する
ことなくロボット26は25個のプラテンをいっぱいに
延出し、プラテンを約0.1インチ上動する。次に各プ
ラテンの真空チャックが駆動され、ウエハを所定の位置
で保持する。各プラテンは退動しホームポジションへ戻
る。そしてロボットはロードロック室20方向へ回動す
る。その後、25個のプラテンはロボットから離反する
方向へ延出されロードロック室20内へ進入する。真空
チャック27が解放することによりプラテン36a〜3
6yでの吸着が終了する。ウエハホルダ24は、プラテ
ンから25枚のウエハを取り上げるため、0.1インチ
上動する。25枚のウエハは、ウエハホルダ24の第1
または第2の収納部の25個の棚部へ移載される。セン
タリング機構31a〜31dはウエハをセンタリングす
るために閉じるよう移動する。25個のプラテン36a
〜36yはホームポジションへ退動し、センタリング機
構は再び開く。ウエハが第2のカセットへ移載される際
にも上記の動作が繰り返されるのはもちろんである。
【0033】本実施形態では2工程が同時に実行され
る。ウエハホルダ24は次のポジションへ移動し、次の
ポジションでの棚部はゲートバルブと隣接し、第2のカ
セットからの25枚のウエハを受け取る準備ができる。
本実施形態では、この構成により50枚のウエハを処理
することができる。同様にロボット26は第2のカセッ
トについても一連の動作を繰り返し、25枚のウエハを
取り出してウエハホルダ24へ移載することができる。
この動作の最中、処理室22は既に真空状態になってお
り、スリットバルブ52も閉塞されている。ロボット2
6が25枚のウエハを第2のカセットからウエハホルダ
24へ移載を完了したら、ゲートバルブ34は閉塞され
る。
【0034】ロードロック室20内は減圧が開始され、
大気圧から処理室22と同じ気圧になるまで減圧され
る。同時に制御システムはプラズマチューブ内へプラズ
マ発生用ガスを導入すると共に、スロットルバルブ(不
図示)を調整することにより処理室22内の気圧を制御
する。通常、ウエハ移載システムがアイドル状態にある
ときは、処理室22内は約200ミリトールの気圧であ
る。ステップ770、780に示すように、システムは
各ウエハ処理ステージが設定温度に達するまではガスを
導入しない。本実施形態ではウエハの処理中、処理室2
2内の気圧を約2000ミリトールに設定している。本
実施形態では約3000sccmの酸素がプラズマチュ
ーブへ導入される。ガスとしてはこれに限らず、例えば
300sccmの酸素と窒素の混合ガスをプラズマチュ
ーブへ導入するようにしてもよい。プラズマを発生する
ため、所定の電力を誘導(付勢)コイルへ供給する。位
相角検知器601が位相角の測定を開始する。位相角の
ズレはフィードバック制御ループを構成する制御モータ
603へ送られる。制御モータ603は、位相角をゼロ
にすべく高周波チューニングコンデンサ68を調整す
る。高周波チューニングコンデンサ68は、誘導コイル
へ供給される高周波電流の位相を順次制御する。処理室
22はこの状態で、気圧、ガス流、処理ステージの温
度、高周波電力についてウエハ受け入れの準備が整った
ことになる。
【0035】スリットバルブ52が開放され、ステップ
786の処理室22内における第1の基体(例えばウエ
ハ)の処理を行うために移載工程(ステップ785)が
実行される。ウエハホルダ24は第1のウエハが在る棚
部へ移動する。該棚部は通常最上段の棚部であるが、オ
ペレータは第1のウエハを最下段の棚部に入れ、最後の
ウエハを最上部の棚部へ入れてもよい。ウエハ移載ブレ
ード60は、ウエハホルダ24内へ延出され、同時にセ
ンタリングバー31a〜31dは互いに接近するよう閉
じ、ウエハをセンタリングし、再び開く。ウエハ移載ブ
レード60は、最上段の棚部に載っているウエハの下方
へ挿入される。ウエハホルダ24は、約0.1インチ下
動してウエハをウエハ移載ブレード60上に載置する。
ウエハ移載ブレード60はホームポジションへ退動し、
移載ブレード60とウエハは処理室22内に入る。ウエ
ハが処理室22内に入ったときから(処理ステージ86
に載置される前から)処理ステージでの処理が開始さ
れ、プラズマが直ちにウエハ表面からレジストを剥離す
る。
【0036】ウエハがウエハ移載ブレード60およびフ
ィン(例えばフィン62a)に載置されるとフィンイン
デックス動作が行われる。フィンは上動し、そして約5
1.7度(つまり360度の1/7)回動して第1の処
理ステージ(例えばステージ86a)へ接近し、下動し
てウエハを第1の処理ステージ上に載置させる。同時に
ウエハホルダ24は上動して第2のウエハをブレード6
0で移載できる位置まで移動する。第2のウエハ(基
体)は、第1のウエハがステップ786で処理されてい
る間にロードロック室20から処理室22内へ移載され
る(ステップ787)。図9では2個の基体(ウエハ)
を移載する工程を示したが、実際には処理室22内の6
個の処理ステージへそれぞれ1枚づつウエハが載置され
るまで6回図示の工程を繰り返すことになる。例えば、
第5のウエハがロードロック室20から処理室22へ移
載されるとき、第1、第2、第3および第4のウエハ
は、第4、第3、第2および第1ステージ上で個々に処
理が行われている。第1ステージは、ウエハが最初に処
理されるステージである。本実施形態では全てのステー
ジがグランドへ接続されている。しかし、ウエハ移載ブ
レード60の反時計方向に在る第1処理ステージでは、
「シェル」と呼ばれる、イオン注入工程で変質した堅固
なレジストが付着しているウエハを処理するため高周波
電力を供給するようにしてもよい。
【0037】第1のウエハが第1〜第5の処理ステージ
で処理され、第6の処理ステージに載置されると、フィ
ンインデックス動作によって第1のウエハはウエハ移載
ブレード60上に戻される。ウエハホルダ24は、第1
のウエハがブレード60で移載された位置まで上動す
る。ウエハ移載ブレード60はウエハホルダ24に向か
って延出後、ウエハホルダ24が約0.1インチ上動し
て処理済のウエハは最上段の棚部へ戻される。それから
ウエハ移載ブレード60はホームポジションへ退動し、
処理室22内へ戻る。続いてウエハホルダ24は第7番
目の棚部へ移動し、ウエハ移載ブレード60が未処理の
7番目のウエハを取り上げ、処理室22内へ移載するこ
とが可能になる。7番目のウエハを処理室22内へ導入
したら、本システムは上記の一連の工程(未処理のウエ
ハをロードロック室20から処理室22へ移載し、処理
済のウエハを処理室22からロードロック室20へ移載
する工程)を36回繰り返す。各ウエハは、6個全ての
処理ステージ86a〜86fで処理されるまでステージ
86からステージ86へ順次移載される。最後の7枚の
処理済ウエハが処理室からウエハホルダ24の棚部へ戻
されるときは、取り出しの移載のみ行われ、未処理のウ
エハの処理室内への移載は行われない。上記の一連の移
載工程は、図9には示さないが本実施形態では50枚の
ウエハを処理するよう設計されている。ステップ788
および789に示すように、処理済ウエハは、他のウエ
ハが処理室22内で処理が継続されている間にロードロ
ック室20へ戻すべく移載してよい。全ての処理が完了
すると、全ての処理済ウエハはウエハホルダの元の棚部
へもどされる(ステップ790)。最後に、全ての処理
済ウエハは、ウエハホルダ24から元のカセットへ戻さ
れる(ステップ791)。
【0038】50枚全ての処理済ウエハがウエハホルダ
24の元の棚部へ戻されると、スリットバルブ52が閉
塞され、処理室22と隔絶される。これで本システムが
1回で50枚までのウエハを処理できることが理解でき
る。この後、ウエハ移載システムは高周波電力をOFF
にしてプラズマチューブ611、612内のガス流を停
止する。それからスロットルバルブを開放して処理室2
2内の気圧を元の200ミリトールへ戻す。ウエハ移載
システムは、窒素ガスをロードロック室22内へ流入さ
せる。本実施形態では、ロードロック室20内の気圧が
10トールに達するまでロードロック室20内へ100
sccmの窒素ガスを流入させ、それからメインベンテ
ィングバルブ(不図示)を開放してロードロック室20
内の気圧を大気圧まで戻す。これはロードロック室20
内の圧力を急激に上げることによる異物のまい上げを防
ぐためである。
【0039】その後、ゲートバルブ34を開放して次の
ウエハの移載が開始される。まず、センタリングバー3
1a〜31dがウエハホルダ24のウエハをセンタリン
グする。次に、ロボット26が25個のプラテン36a
〜36yをウエハホルダ24内へ延出する。ウエハをセ
ンタリングしたらセンタリングバー31a〜31dが開
く。ウエハホルダ24は約0.1インチ下動して25枚
全てのウエハは25個のプラテン36a〜36y上に載
置される。各プラテン36に設けられた真空チャック2
7a〜27yが駆動され、各ウエハはそれぞれプラテン
36上に保持される。プラテン36a〜36yはホーム
ポジションへ退動する。次に、ロボット26はプラテン
36と共に回動して第1カセット方向へ移動する。ロボ
ット26はプラテン36a〜36yを第1カセット方向
へ延出する。そして真空チャック27a〜27yがOF
Fになり、ロボット26は約0.1インチ下動し、25
枚のウエハが第1カセットの元の棚部へ戻されたら25
個のプラテン36a〜36yがホームポジションへ退動
する。ロボット26が第1カセット方向へ回動する間
に、平行してウエハホルダ24は上動し、次の25枚の
ウエハを取り出して第2のカセットの元のスロットへ戻
すようにもできる。これにより50枚のウエハの処理が
行える。
【0040】本発明は、ウエハ表面のフォトレジストを
除去することだけではない。例えば、損傷されたシリコ
ン層の除去や、コンタクトエッチングにおける有機物の
除去のためのライトエッチングを目的にしてもよい。更
に、化学的蒸着法(CVD)に本発明を採用することも
できる。また、プラズマ発生機を誘導的に結合する代わ
りに、容量的に結合してもよい。図10は各処理ステー
ジについて温度制御を行わないで実施した場合のレジス
トの除去量を示す標準的なグラフである。6個全ての処
理ステージは温度が200°Cに設定される。累積の処
理経過時間はX軸に示し、各処理ステージの下に記載さ
れている。第1のステージ(ステージ1)ではウエハの
温度が十分上昇しきらないため820オングストローム
のレジストがウエハ表面から除去された。ウエハが第2
のステージ(ステージ2)を離れるときには温度が十分
に上がっているため除去量が飛躍的に向上し、合計で7
220オングストロームのレジストがウエハ表面から除
去された。ウエハが第3のステージ(ステージ3)を離
れるときには合計で13600オングストロームのレジ
ストがウエハ表面から除去され、ウエハが第4のステー
ジ(ステージ4)を離れるときには合計で20000オ
ングストロームのレジストがウエハ表面から除去され
た。経過時間は56秒であった。オーバーエッチング、
すなわち残渣の除去はステージ5、6で発生した。従っ
て、図10の例では、ステージ毎に違う温度設定を行わ
ない場合にはウエハ1枚について約44秒で処理を行う
ことが必要がある。通常は、各ウエハで15000〜2
0000オングストロームのレジスト除去を行う。ま
た、違った温度設定を行わない場合は、通常ステージの
温度は常温〜200°Cの間にセットする。
【0041】図11は各処理ステージについて違った温
度設定を行った場合のレジストの除去量を示すグラフで
ある。各ウエハに係る累積のレジスト除去量はY軸に示
し、処理経過時間(秒)はX軸に示すと共に各処理ステ
ージの下に記載されている。各処理ステージの設定温度
は異なっており、ウエハを徐々に加熱するようになって
いる。第1のステージ(ステージ1)は100°Cに設
定され、第2のステージ(ステージ2)は120°Cに
設定され、第3のステージ(ステージ3)は140°C
に設定され、20°づつ高く設定され最後のステージ6
は200°Cに設定されている。図11に示す数値は本
実施形態における例であり、採用する条件等により所望
の数値に設定できるのはもちろんである。この方式は、
イオン注入後のレジスト除去にきわめて有効である。す
なわち、イオン注入によるレジスト変質層をレジストの
爆発なしに除去するために、ステージ1、2を前処理温
度以下に設定し(この場合140℃以下)、変質層をま
ず除去する。次に徐々に温度を上げて除去量を増やす。
この方式により、処理効率の低下を起こさずに好適なレ
ジスト除去が行える。
【0042】各ウエハ処理ステージの温度とプラズマ発
生機を個々に制御すると、オーバーエッチングになった
ときに、温度や高周波電力を調整することによって処理
中のウエハへナトリウムや他の不純物の侵入を抑制でき
る。上記の実施形態に基づく基体処理装置及び基体処理
方法ではウエハ表面のフォトレジストを除去する処理が
可能である上に、処理室におけるウエハの処理と、当該
処理室とロードロック室との間で他のウエハの移載を平
行して実行することが可能である。従って、ウエハ処理
の効率(スループット)を向上させ得ると共に、コスト
減と、汚染の減少を図ることが可能となる。
【0043】上記実施形態では、6個の処理ステージで
順次ウエハを処理する連続処理方法を示したがこれに限
られるものではない。例えば、基体(ウエハ)搬出入手
段および前記基体移載手段により、ロードロック室から
未処理の基体を順次6個の処理ステージ上に搬入し、各
処理ステージで基体の処理を一斉に行い、次いで、処理
が終了した基体を基体移載手段および基体搬出入手段に
より、処理ステージから待機ステージを経てロードロッ
ク室に順次戻すようにして、基体をバッチ処理するよう
にすることができる。この場合には、各処理ステージの
処理条件は同じであり、処理ステップが1ステップの場
合である。順次、一斉に基体を処理室に搬入し(1個ず
つ)、一斉に基体の処理をし、一斉に基体を搬出する
(1個ずつ)ものであるから、基体の搬出入と基体の処
理は同時的には行われない。しかし、この場合にも、移
載ブレードは1回の往復動によって基体の搬出入ができ
るので、従来の方式の2回の往復動プラス回転動に比べ
ると、基体の搬出入に要する時間を短縮できる。
【0044】あるいは、複数の処理ステージ、例えば6
個の処理ステージを、同一数例えば2つずつの処理ステ
ージからなる3群の処理ステップA、B、Cに設定し、
基体搬出入手段と基体移載手段により、最終ステップC
での既処理の基体を待機ステージを経て順次ロードロッ
ク室に搬出し、次にロードロック室から未処理の基体を
順次待機ステージを経て第1ステップAの処理ステージ
に搬入するようにし、かつ処理ステップA、Bの基体を
各々次のステップB、Cの処理ステージに移載するよう
にして、順次ステップ順(A→B→C)に基体を処理す
るようにすることもできる。
【0045】あるいは、複数の処理ステージ、例えば6
個の処理ステージを、同一数例えば2つずつの処理ステ
ージからなる3群の処理ステップA、B、Cに設定し、
基体搬出入手段および基体移載手段により、ロードロッ
ク室から第1ステップAの処理ステージに基体を順次搬
入して基体の処理を行い、次いで基体移載手段により基
体を順次次ステップBの処理ステージに移載して基体の
処理を行い、同様にして処理ステージCでの処理を行
い、最終ステップCの処理が終了したら、基体移載手段
および基体搬出入手段により最終ステップCの処理ステ
ージから待機ステージを経てロードロック室に基体を戻
すようにしてもよい。この場合、処理室では2個の基体
のみが順次処理ステップA、B、Cを経て処理される。
処理ステップAで処理されているときは、処理ステップ
B、Cでの処理はなされず(ガス導入および高周波電力
OFF)、したがってガスのコンタミなどを防止できる
利点がある。
【0046】上記の実施形態ではレジスト除去用のガス
として酸素を使用した。また、ウエハホルダに含まれる
棚部の数や、処理ステージの設定温度等を具体的な図面
や数値を挙げて説明したが、本発明はこれらに限定され
るものではない。当業者にとっては本発明の範囲および
精神は更に詳細に説明しなくても理解できるであろう。
例えば周知の半導体装置やその製造方法については本発
明の理解にとって必要な事項ではないので説明は省略す
る。
【0047】
【発明の効果】本発明によれば、移載ブレードを処理室
内に配設したので、基体の搬出入が僅かな工程数でで
き、また構造も単純である。また、既処理の基体と未処
理の基体が処理室とロードロック室との間で移載されて
いる間に基体を処理することができる。従って、作業能
率を向上させることができる。簡単な構造なので製造コ
ストを低く抑えることができ、コンパクト化できると共
に信頼性も向上させることができる。
【図面の簡単な説明】
【図1】従来のウエハ処理システムを示した説明図。
【図2】本発明に係るウエハ移載システムの実施形態を
示した側面図。
【図3】本実施形態における移載システムのロボットお
よびウエハホルダを示した側面図。
【図4】本実施形態における処理室およびプラズマ発生
機を示した側面図。
【図5】ロードロック室内に配設されたウエハホルダの
平衡機構を示した説明図。
【図6】処理室のウエハ移載ブレード、6個の処理ステ
ージ、7個のフィンを示した平面図。
【図7】処理ステージ上方に配設されたプラズマ発生機
の平面図。
【図8】プラズマ発生機の回路例を示した回路図。
【図9】本発明に係る方法の実施形態を示したフローチ
ャート。
【図10】温度制御を行わない場合における処理時間に
対するフォトレジストの除去量を示したグラフ。
【図11】温度制御を行った場合における処理時間に対
するフォトレジストの除去量を示したグラフ。
【符号の説明】
20 ロードロック室 22 処理室 24 ウエハホルダ 26 ロボット 27a〜27y 真空チャック 28a、28b カセット 30 センタリング機構 31a〜31d センタリングバー 34 ゲートバルブ 36a〜36y プラテン 52 スリットバルブ 54 第1マグネット 56 第2マグネット 60 移載ブレード(スライダ) 62a〜62g フィン 64 センターハブ 68 高周波チューニングコンデンサ 70a〜70f 誘導コイル 86a〜86f 処理ステージ 92 ウエハ 96 錘(平衡機構) 611〜616 プラズマチューブ

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 基体が収納されるロードロック室と、 該ロードロック室と連接され、ロードロック室から搬入
    される基体を処理する処理室と、 該処理室内に配設されて既処理の基体と未処理の基体と
    を処理室とロードロック室との間で搬出入する移載ブレ
    ードを含む基体搬出入手段とを具備することを特徴とす
    る基体処理装置。
  2. 【請求項2】 前記基体搬出入手段は、実質的に前記処
    理室で基体が処理されている間に、既処理の基体と未処
    理の基体を処理室とロードロック室との間で搬出入する
    ことを特徴とする請求項1記載の基体処理装置。
  3. 【請求項3】 前記処理室は待機ステージと処理ステー
    ジとを具備し、 更に該待機ステージと処理ステージとの間で基体を移載
    する基体移載手段が設けられ、 前記基体搬出入手段は、前記待機ステージ上の既処理の
    基体を前記ロードロック室に搬出し、ロードロック室内
    の未処理の基体を待機ステージ上に搬入し、 前記基体移載手段は、処理ステージでの基体処理後、搬
    入されている未処理の基体を処理ステージに、処理ステ
    ージで処理された基体を前記待機ステージに移載するこ
    とを特徴とする請求項1または2記載の基体処理装置。
  4. 【請求項4】 前記処理ステージは複数設けられ、 前記基体移載手段は、基体を、各ステージ間で一斉に移
    載可能であることを特徴とする請求項1、2または3記
    載の基体処理装置。
  5. 【請求項5】 前記基体搬出入手段は、 前記基体を載置可能な前記移載ブレードと、 該移載ブレードを前記ロードロック室と処理室との間に
    亘り移動させるブレード移動手段とを具備することを特
    徴とする請求項1、2、3または4記載の基体処理装
    置。
  6. 【請求項6】 前記ブレード移動手段は、前記移載ブレ
    ードが固定された第1のマグネットと、前記処理室外に
    位置し第1のマグネットとの間で磁気カップリングを構
    成する第2のマグネットとを具備し、該第2のマグネッ
    トの移動に応じて前記第1のマグネットおよび移載ブレ
    ードが移動することを特徴とする請求項1、2、3、4
    または5記載の基体処理装置。
  7. 【請求項7】 前記ロードロック室と前記処理室とは真
    空装置に接続され、前記ロードロック室はゲートバルブ
    を介して大気に連通するとともに、スリットバルブを介
    して前記処理室に連通することを特徴とする請求項1、
    2、3、4、5または6記載の基体処理装置。
  8. 【請求項8】 前記基体移載手段は、 前記待機ステージと複数の処理ステージに対応して、一
    端が共通のセンターハブへ連結され、他端側で基体を載
    置可能な複数のフィンと、 該センターハブを回転させる回転手段と、 センターハブを上下動させる上下動手段とを具備し、 該回転手段および上下動手段によりセンターハブが回
    転、上下動されることにより、フィンに載置された基体
    が各ステージ間を一斉に移動可能に設けられていること
    を特徴とする請求項1、2、3、4、5、6または7記
    載の基体処理装置。
  9. 【請求項9】 前記ロードロック室には、複数の基体を
    保持すべく複数の棚部を有する基体ホルダを具備するこ
    とを特徴とする請求項1、2、3、4、5、6、7また
    は8記載の基体処理装置。
  10. 【請求項10】 前記処理ステージに対応する複数のプ
    ラズマ発生機を具備することを特徴とする請求項1、
    2、3、4、5、6、7、8または9記載の基体処理装
    置。
  11. 【請求項11】 前記各プラズマ発生機は、第1の端部
    と第2の端部を有する誘導コイルと、該誘導コイル内に
    配設されたチューブとを具備し、該チューブの第1の端
    部からガスが導入され、第2の端部からプラズマが前記
    処理ステージに放出されることを特徴とする請求項1、
    2、3、4、5、6、7、8、9または10記載の基体
    処理装置。
  12. 【請求項12】 少なくとも2個の前記プラズマ発生機
    を対に構成することを特徴とする請求項1、2、3、
    4、5、6、7、8、9、10または11記載の基体処
    理装置。
  13. 【請求項13】 対をなす前記プラズマ発生機は、同一
    巻き数を持ち各チューブに巻回された一対の誘導コイル
    が並列に接続、かつ結合され、該結合された誘導コイル
    の第1の端部が高周波チューニング用コンデンサの第1
    の端部へ接続され、前記結合された誘導コイルの第2の
    端部が接地され、前記高周波チューニング用コンデンサ
    の第2の端部が接地されることを特徴とする請求項12
    記載の基体処理装置。
  14. 【請求項14】 前記並列に結合された誘導コイルの一
    方は、対をなす前記チューブの一方に対して全巻き数の
    半数分だけ巻回され、残りの半数は他方のチューブに対
    して巻回され、同様に他方の誘導コイルは、前記他方の
    チューブに対して全巻き数の半数分だけ巻回され、残り
    の半数は前記一方のチューブに対して巻回されており、
    その際に各チューブに対して前記一対の誘導コイルが交
    互に巻回されていることを特徴とする請求項13記載の
    基体処理装置。
  15. 【請求項15】 ロードロック室と、該ロードロック室
    と連接され、待機ステージおよび処理ステージを含む処
    理室と、処理室内に配置されロードロック室と処理室と
    の間で基体を搬出入する移載ブレードを含む基体搬出入
    手段と、各ステージ間で基体を一斉に移載する基体移載
    手段と、処理ステージに対応するプラズマ発生機と、ガ
    ス供給手段とを具備する基体処理装置を用いて基体を処
    理する基体処理方法において、 複数の基体を前記ロードロック室にセットし、 実質的に前記処理室の処理ステージで基体の処理がなさ
    れている間に、前記基体搬出入手段により待機ステージ
    上の既処理の基体を前記ロードロック室内に搬出すると
    共に、該ロードロック室内の未処理の基体を待機ステー
    ジ上に搬入することを特徴とする基体処理方法。
  16. 【請求項16】 ロードロック室と、該ロードロック室
    と連接され、待機ステージおよび処理ステージを含む処
    理室と、処理室内に配置されロードロック室と処理室と
    の間で基体を搬出入する移載ブレードを含む基体搬出入
    手段と、各ステージ間で基体を一斉に移載する基体移載
    手段と、処理ステージに対応するプラズマ発生機と、ガ
    ス供給手段とを具備する基体処理装置を用いて基体を処
    理する基体処理方法において、 前記処理ステージは複数設けられ、 前記基体搬出入手段および前記基体移載手段により、前
    記ロードロック室から未処理の基体を順次各処理ステー
    ジ上に搬入し、 各処理ステージで基体の処理を行い、 次いで、処理が終了した基体を前記基体移載手段および
    前記基体搬出入手段により、各処理ステージから前記ロ
    ードロック室に順次戻すようにして、基体をバッチ処理
    することを特徴とする基体処理方法。
  17. 【請求項17】 ロードロック室と、該ロードロック室
    と連接され、待機ステージおよび処理ステージを含む処
    理室と、処理室内に配置されロードロック室と処理室と
    の間で基体を搬出入する移載ブレードを含む基体搬出入
    手段と、各ステージ間で基体を一斉に移載する基体移載
    手段と、処理ステージに対応するプラズマ発生機と、ガ
    ス供給手段とを具備する基体処理装置を用いて基体を処
    理する基体処理方法において、 前記処理ステージは複数設けられ、 該複数の処理ステージが、同一数ずつの処理ステージか
    らなる複数群の処理ステップに設定され、 前記基体搬出入手段と基体移載手段により、最終ステッ
    プでの既処理の基体を前記ロードロック室に待機ステー
    ジを経て順次搬出すると同時に、前記ロードロック室か
    ら未処理の基体を待機ステージを経て順次第1ステップ
    の処理ステージに搬入するようにし、かつ各処理ステッ
    プの基体を次ステップの処理ステージに順次移載するよ
    うにして、順次ステップ順に基体を処理することを特徴
    とする基体処理方法。
  18. 【請求項18】 ロードロック室と、該ロードロック室
    と連接され、待機ステージおよび処理ステージを含む処
    理室と、処理室内に配置されロードロック室と処理室と
    の間で基体を搬出入する移載ブレードを含む基体搬出入
    手段と、各ステージ間で基体を一斉に移載する基体移載
    手段と、処理ステージに対応するプラズマ発生機と、ガ
    ス供給手段とを具備する基体処理装置を用いて基体を処
    理する基体処理方法において、 前記処理ステージは複数設けられ、 該複数の処理ステージが、同一数ずつの処理ステージか
    らなる複数群の処理ステップに設定され、 前記基体搬出入手段および前記基体移載手段により、前
    記ロードロック室から待機ステージを経て第1ステップ
    の処理ステージに基体を順次搬入して基体の処理を行
    い、次いで基体移載手段により基体を順次次ステップの
    処理ステージに移載して基体の処理を行い、最終ステッ
    プの処理が終了したら、前記基体移載手段および前記基
    体搬出入手段により最終ステップの処理ステージから待
    機ステージを経て順次前記ロードロック室に基体を戻す
    ことを特徴とする基体処理方法。
JP9181147A 1996-07-09 1997-07-07 基体処理装置および基体処理方法 Pending JPH10125762A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/677,136 US5900105A (en) 1996-07-09 1996-07-09 Wafer transfer system and method of using the same
US08/677:136 1996-07-09

Publications (1)

Publication Number Publication Date
JPH10125762A true JPH10125762A (ja) 1998-05-15

Family

ID=24717473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9181147A Pending JPH10125762A (ja) 1996-07-09 1997-07-07 基体処理装置および基体処理方法

Country Status (4)

Country Link
US (1) US5900105A (ja)
JP (1) JPH10125762A (ja)
AU (1) AU3705897A (ja)
WO (1) WO1998001378A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008511136A (ja) * 2004-08-17 2008-04-10 セシリア ワイ マック 多孔質膜の堆積方法
JP2011501453A (ja) * 2007-10-24 2011-01-06 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
JP2011146705A (ja) * 2010-01-12 2011-07-28 Semes Co Ltd 基板処理装置
JP2016094638A (ja) * 2014-11-13 2016-05-26 株式会社島津製作所 成膜装置
JP2019119483A (ja) * 2017-12-29 2019-07-22 エーピー システムズ インコーポレイテッド 扉装置及びグローブボックス

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933182B1 (en) * 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6063710A (en) * 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US6224680B1 (en) * 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
JP3936030B2 (ja) * 1997-06-23 2007-06-27 東京エレクトロン株式会社 被処理体の回収方法
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6275744B1 (en) * 1997-08-01 2001-08-14 Kokusai Electric Co., Ltd. Substrate feed control
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
KR100257903B1 (ko) * 1997-12-30 2000-08-01 윤종용 인시튜 모니터링가능한 플라즈마 식각장치, 그 인시튜 모니터링방법, 플라즈마 식각챔버내의 잔류물 제거를 위한 인시튜 세정방법
US6228773B1 (en) 1998-04-14 2001-05-08 Matrix Integrated Systems, Inc. Synchronous multiplexed near zero overhead architecture for vacuum processes
JP2000058839A (ja) 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6350321B1 (en) * 1998-12-08 2002-02-26 International Business Machines Corporation UHV horizontal hot wall cluster CVD/growth design
US6525802B1 (en) 1999-11-05 2003-02-25 Nikon Corporation Kinematic mounted reference mirror with provision for stable mounting of alignment optics
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6626632B2 (en) 1999-12-03 2003-09-30 Motoman, Inc. Robotic order picking system
US6860965B1 (en) * 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6717159B2 (en) 2000-10-18 2004-04-06 Nikon Corporation Low distortion kinematic reticle support
US6585478B1 (en) 2000-11-07 2003-07-01 Asm America, Inc. Semiconductor handling robot with improved paddle-type end effector
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US6415843B1 (en) 2001-01-10 2002-07-09 Anadigics, Inc. Spatula for separation of thinned wafer from mounting carrier
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
WO2002079699A1 (fr) * 2001-03-29 2002-10-10 Kabushiki Kaisha Sankyo Seiki Seisakusho Systeme de production
US7189647B2 (en) 2001-04-05 2007-03-13 Novellus Systems, Inc. Sequential station tool for wet processing of semiconductor wafers
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
WO2004010482A1 (en) * 2002-07-19 2004-01-29 Axcelis Technologies, Inc. Dual chamber vacuum processing system
MXPA05007215A (es) * 2003-01-02 2005-09-12 Univ Loma Linda Med Sistema para administracion de configuracion y recuperacion para sistema de terapia con haz de protones.
US20070286710A1 (en) * 2003-11-10 2007-12-13 Van Der Meulen Peter Semiconductor manufacturing process modules
US8267632B2 (en) 2003-11-10 2012-09-18 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
DE602006008780D1 (de) * 2005-06-10 2009-10-08 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20100147396A1 (en) * 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
DE102010048043A1 (de) 2010-10-15 2012-04-19 Ev Group Gmbh Vorrichtung und Verfahren zur Prozessierung von Wafern
KR101530024B1 (ko) * 2013-12-20 2015-06-22 주식회사 유진테크 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923342A (en) * 1974-06-10 1975-12-02 Motorola Inc Apparatus and method for handling frangible objects
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US4676884A (en) * 1986-07-23 1987-06-30 The Boc Group, Inc. Wafer processing machine with evacuated wafer transporting and storage system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4795299A (en) * 1987-04-15 1989-01-03 Genus, Inc. Dial deposition and processing apparatus
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4832778A (en) * 1987-07-16 1989-05-23 Texas Instruments Inc. Processing apparatus for wafers
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
KR0147387B1 (ko) * 1990-09-25 1998-11-02 이노우에 다케시 종형 열처리 장치
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
EP0634787B1 (en) * 1993-07-15 1997-05-02 Applied Materials, Inc. Subsrate tray and ceramic blade for semiconductor processing apparatus
JPH07161493A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
TW293983B (ja) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5540824A (en) * 1994-07-18 1996-07-30 Applied Materials Plasma reactor with multi-section RF coil and isolated conducting lid
JP2665202B2 (ja) * 1995-05-31 1997-10-22 九州日本電気株式会社 半導体ウェハ処理装置
US5573595A (en) * 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008511136A (ja) * 2004-08-17 2008-04-10 セシリア ワイ マック 多孔質膜の堆積方法
JP2011501453A (ja) * 2007-10-24 2011-01-06 オーツェー・エリコン・バルザース・アーゲー ワークピース製造方法及び装置
JP2011146705A (ja) * 2010-01-12 2011-07-28 Semes Co Ltd 基板処理装置
JP2016094638A (ja) * 2014-11-13 2016-05-26 株式会社島津製作所 成膜装置
JP2019119483A (ja) * 2017-12-29 2019-07-22 エーピー システムズ インコーポレイテッド 扉装置及びグローブボックス

Also Published As

Publication number Publication date
WO1998001378A1 (en) 1998-01-15
US5900105A (en) 1999-05-04
AU3705897A (en) 1998-02-02

Similar Documents

Publication Publication Date Title
JPH10125762A (ja) 基体処理装置および基体処理方法
US6007675A (en) Wafer transfer system and method of using the same
WO1998001378A9 (en) A wafer transfer system and method of using the same
JP2639459B2 (ja) モジューラ半導体ウェーハ移送及び処理装置
KR100649461B1 (ko) 표면 처리 방법 및 장치
EP0272141B1 (en) Multiple chamber integrated process system
JP3238432B2 (ja) マルチチャンバ型枚葉処理装置
US6176667B1 (en) Multideck wafer processing system
US5882165A (en) Multiple chamber integrated process system
US6911112B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US6273956B1 (en) Synchronous multiplexed near zero overhead architecture for vacuum processes
EP0469469B1 (en) Processing method for manufacturing a semiconductor device
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
US4951601A (en) Multi-chamber integrated process system
US5292393A (en) Multichamber integrated process system
US6224680B1 (en) Wafer transfer system
KR100269097B1 (ko) 기판처리장치
JPH11195688A (ja) 基板処理装置
JP2000195925A (ja) 基板処理装置
KR20020010657A (ko) 처리 장치 및 처리 방법
JP2001250855A (ja) ウエハハンドリングシステム及びそのためのウエハ移送方法
JP2001250856A (ja) ウエハ処理装置及びこれにアクセスする方法
KR0154329B1 (ko) 기판 처리장치 및 기판 처리방법
US20030205196A1 (en) Substrate processing apparatus and substrate processing method
KR100433067B1 (ko) 반도체 제조장치