JPH0983250A - ダイレクト・デジタル・シンセサイザ - Google Patents

ダイレクト・デジタル・シンセサイザ

Info

Publication number
JPH0983250A
JPH0983250A JP8124398A JP12439896A JPH0983250A JP H0983250 A JPH0983250 A JP H0983250A JP 8124398 A JP8124398 A JP 8124398A JP 12439896 A JP12439896 A JP 12439896A JP H0983250 A JPH0983250 A JP H0983250A
Authority
JP
Japan
Prior art keywords
output
converter
signal
direct digital
accumulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8124398A
Other languages
English (en)
Other versions
JP3417517B2 (ja
Inventor
Tadao Nakagawa
匡夫 中川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP12439896A priority Critical patent/JP3417517B2/ja
Publication of JPH0983250A publication Critical patent/JPH0983250A/ja
Application granted granted Critical
Publication of JP3417517B2 publication Critical patent/JP3417517B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

(57)【要約】 【課題】 任意の周波数を発生させ得るダイレクト・デ
ジタル・シンセサイザに関し、回路規模が大となること
が無く、消費電力が小で、大きなスプリアスを発生する
ことのないシンセサイザの実現を目的とする。 【解決手段】 クロックの入力ごとに入力された周波数
設定用データを累積換算するアキュムレータ(1)と、
このアキュムレータの累算値をアナログ電圧に変換する
D/A変換器(2)と、このD/A変換器の出力を平滑
化する積分器(3)と、この積分器の出力と外部から設
定される基準電圧(Vr)とを比較して、アキュムレー
タの累算値が増加している時に、積分器の出力と基準電
圧とが等しくなるタイミングでパルスを出力するコンパ
レータ(4)と、このコンパレータの出力パルスの立ち
上がりに同期してシンセサイザ出力となるパルスを出力
するパルス発生器(5)とを備える。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、任意の周波数を発
生することができるダイレクト・デジタル・シンセサイ
ザに関し、特に、消費電力が少なく、スプリアス特性が
良好で、高周波数を容易に得ることができる周波数シン
セサイザにかかる。
【0002】
【従来の技術】従来のダイレクト・デジタル・シンセサ
イザ(DDS)の例が、例えば文献1「V. Reinhardt,
K. Gould, K. McNab, and M. Bustamante,“A short su
rvey of frequency synthesizer techniques”, in Pro
c. 40th Annual Frequency Control Symp., May 1986,
pp.335-365. 」や文献2「E. McCune Jr, “Create sig
nals having optimum resolution, response, and nois
e ”, EDN, vol.36, no.6, pp.95-108, March 1991. 」
等に記載されている。
【0003】この構成を図1に示す。この図において、
1はアキュムレータ、32はROM(リード・オンリ・
メモリ)、2はD/A変換器、33はローパスフィル
タ、6はデータ入力端子、7はクロック入力端子、8は
出力端子を表している。
【0004】アキュムレータ1には周波数設定用のデー
タが入力されており、クロック信号の入力毎に累積加算
する。アキュムレータ1のビット数をnとすると、アキ
ュムレータの累算値が2n 以上になると、その超過分を
初期値として累算動作を継続する。このアキュムレータ
1の累算値をROM32のアドレス指定に用いる。RO
M32には正弦波のデジタル・データが書き込まれてお
り、アドレス指定に応じた正弦波データを出力する。こ
の正弦波データはD/A変換器2によりアナログ信号に
変換される。このアナログ信号はクロック周波数で変化
する階段波形であり、ローパスフィルタ33により平滑
化してシンセサイザ出力を得る。クロック周波数をf
CLK 、入力データをKとすると出力周波数fOUT は、
【0005】
【数1】
【0006】となる。このようなダイレクト・デジタル
・シンセサイザは、PLL(位相同期ループ)のように
フィードバックループを用いないため、周波数分解能を
高くでき、また出力周波数を高速に切り換えることがで
きる。
【0007】従来の他のダイレクト・デジタル・シンセ
サイザの例としては、アキュムレータ1の最上位ビット
(MSB)から出力を取り出す構成のものがあり、上述
の文献1および文献2に記載されている。このような構
成のものは(1)式で示される周波数の方形波信号が得
られる。これを正弦波に変換するにはローパスフィルタ
が用いられる。
【0008】
【発明が解決しようとする課題】しかしながら、上述し
たような従来のROMを用いるダイレクト・デジタル・
シンセサイザでは、ROMのデータのアクセスに時間が
かかり、シンセサイザの高周波化の妨げとなるという問
題があった。また回路規模も大きく、消費電力が大きい
という問題点もあった。
【0009】一方、アキュムレータの最上位ビットから
出力を取り出すダイレクト・デジタル・シンセサイザで
は、周波数設定データKが2m (mは整数)以外の時に
は、出力パルス幅が周期的に変わり、原理的に大きなス
プリアス(不要波)が発生するという問題点があった。
【0010】本発明は、このような問題点を解消するた
めになされたもので、ROMを用いることなく、かつ周
期的な周波数変動を原理的に0にすることができるダイ
レクト・デジタル・シンセサイザを提供することを目的
としている。
【0011】
【課題を解決するための手段】上記目的を解決するため
に、本発明は、クロック信号が入力される毎に周波数設
定データKを累積し、累積値がオーバーフローしたとき
には、計数限界を超えた量を初期値として、前記周波数
設定データKの累積を継続するアキュムレータと、前記
アキュムレータの累積値の平均増加率を示す信号を出力
する信号発生回路と、前記信号発生回路の出力を、予め
定められた基準電圧と比較するコンパレータと、前記コ
ンパレータの出力パルスの立ち上がりおよび立ち下がり
の一方に同期してパルスを発生するパルス発生器とを具
備することを特徴とする。
【0012】上記ダイレクト・デジタル・シンセサイザ
において、前記信号発生回路は、前記アキュムレータの
累積値をアナログ信号に変換するD/A変換器と、前記
D/A変換器の出力を平滑化する積分器とを具備するこ
とを特徴とする。
【0013】上記ダイレクト・デジタル・シンセサイザ
において、前記信号発生回路は、前記アキュムレータの
現在の出力と、前記アキュムレータの1クロック前の出
力との差分に相当する信号を出力する差分信号発生回路
と、前記差分信号発生回路の出力を時間積分する積分器
とを具備することを特徴とする。
【0014】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記アキュムレー
タの累積値をアナログ信号に変換するD/A変換器と、
前記D/A変換器の出力に遅延を与える遅延回路と、前
記D/A変換器の出力と前記遅延回路の出力とを入力と
する差動増幅器とを具備することを特徴とする。
【0015】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記アキュムレー
タの累積値をアナログ信号に変換する第1のD/A変換
器と、前記アキュムレータの累積値を前記クロック信号
の1クロック分遅延するディレイ・フリップフロップ
と、前記ディレイ・フリップフロップの出力をアナログ
信号に変換する第2のD/A変換器と、前記第1のD/
A変換器の出力および前記第2のD/A変換器の出力を
入力とする差動増幅器とを具備することを特徴とする。
【0016】上記ダイレクト・デジタル・シンセサイザ
において、前記差動増幅器と前記積分器とは、差動型の
積分器に一体化されたことを特徴とする。
【0017】上記ダイレクト・デジタル・シンセサイザ
において、前記差動増幅器と前記積分器とは、差動型の
積分器に一体化されたことを特徴とする。
【0018】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記アキュムレー
タの累積値を前記クロック信号の1クロック分遅延する
ディレイ・フリップフロップと、前記アキュムレータの
累積値および前記ディレイ・フリップフロップの出力の
差をとる全減算器と、該全減算器の出力をアナログ信号
に変換するD/A変換器とを具備することを特徴とす
る。
【0019】上記ダイレクト・デジタル・シンセサイザ
において、前記アキュムレータは、前記累積値が2
n (nは正の整数)以上になった時にオーバーフロー信
号を出力するnビットのアキュムレータであり、前記信
号発生回路は、前記オーバーフロー信号のレベルに応じ
て、前記周波数設定データKに比例した電圧と、K−2
nに比例した電圧とを切り換えて出力する差分信号発生
回路と、前記差分信号発生回路の出力を時間積分する積
分器とを具備することを特徴とする。
【0020】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記周波数設定デ
ータKをアナログ信号に変換するD/A変換器と、前記
アキュムレータのオーバーフロー信号のレベルを変換す
るレベル変換回路であって、その出力の直流レベルが、
前記オーバーフロー信号がハイのときには、前記D/A
変換器に2n のデータを入力したときに該D/A変換器
から得られる出力の直流レベルに一致し、前記オーバー
フロー信号がローのときには、前記D/A変換器に0の
データを入力したときに該D/A変換器から得られる出
力の直流レベルに一致するレベル変換回路と、前記レベ
ル変換回路の出力および前記D/A変換器の出力を入力
とする差動増幅器とを具備することを特徴とする。
【0021】上記ダイレクト・デジタル・シンセサイザ
において、前記差動増幅器と前記積分器とは、差動型の
積分器に一体化されたことを特徴とする。
【0022】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記アキュムレー
タのオーバーフロー信号のレベルに応じて、2n に相当
するデータと、0に相当するデータとを切り換えて出力
するデータセレクタと、前記データセレクタの出力デー
タと前記周波数設定データKとの差分を出力する全減算
器と、該全減算器の出力をアナログ信号に変換するD/
A変換器とを具備することを特徴とする。
【0023】上記ダイレクト・デジタル・シンセサイザ
において、前記差分信号発生回路は、前記アキュムレー
タのオーバーフロー信号のレベルに応じて、2n に相当
するデータと、0に相当するデータとを切り換えて出力
するA/D変換器と、前記A/D変換器の出力と前記周
波数設定データKとの差分を出力する全減算器と、該全
減算器の出力をアナログ信号に変換するD/A変換器と
を具備することを特徴とする。
【0024】上記ダイレクト・デジタル・シンセサイザ
において、前記アキュムレータと前記A/D変換器との
間に接続され、前記オーバーフロー信号のレベルを変換
する振幅変換回路をさらに具備することを特徴とする。
【0025】上記ダイレクト・デジタル・シンセサイザ
において、入力端に前記クロック信号が供給され、出力
端が前記A/D変換器のクロック入力端に接続されたイ
ンバータをさらに具備することを特徴とする。
【0026】上記いずれかのダイレクト・デジタル・シ
ンセサイザにおいて、前記パルス発生器は、トリガ・フ
リップフロップであることを特徴とする。
【0027】上記いずれかのダイレクト・デジタル・シ
ンセサイザにおいて、前記パルス発生器は、ワンショッ
ト・マルチバイブレータであることを特徴とする。
【0028】本発明では、クロックの入力ごとに周波数
設定データKを累積加算するnビットのアキュムレータ
の累算値が増加している時に、その増加率を示す信号と
基準電圧とが等しくなるタイミングを抽出して、このタ
イミングに基づいてパルスを出力することを最も主要な
特徴とする。従来技術とは、ROMを用いることなく任
意の周波数を発生することができ、かつ周期的な周波数
変動を原理的に0にすることができる点が異なる。
【0029】本発明は、アキュムレータの累算値の平均
増加率を示す信号は、それが増加している時には、周波
数変動のない鋸歯状波に一致するという原理を用いてい
る。このアキュムレータの累算値が増加している領域の
時間軸情報を利用してシンセサイザ出力を得るため、周
期的な周波数変動に起因するスプリアスを発生させない
効果が得られる。
【0030】
【発明の実施の形態】以下、図面を参照して、本発明の
実施形態を説明する。
【0031】第1実施形態 図2は本発明によるダイレクト・デジタル・シンセサイ
ザの第1実施形態を示す図である。
【0032】この図において、数字符号1はアキュムレ
ータ、2はD/A変換器、3は入力信号を平滑化する積
分器、4はコンパレータ、5はトグル・フリップフロッ
プ(T−FF)、6は周波数設定用データKの入力端
子、7はクロック用の入力端子、8は出力端子、9はコ
ンパレータへの基準電圧の入力端子を表わしている。
【0033】図3(A),図3(B),図4(A),図
4(B)は、こ実施形態の動作を示すタイムチャートで
ある。以下これらの図を参照して第1実施形態の動作を
説明する。
【0034】今、アキュムレータ1のビット数を3とす
るとオーバーフローは23 =8で起こる。また周波数設
定データKを3とすると、クロックの入力ごとにアキュ
ムレータ1の内容は3,6と累算される。次のクロック
入力で9となるが、オーバーフローを起こし、8を引い
た残りの1を初期値として累算動作を継続する。
【0035】このアキュムレータ1のデジタル出力Da
をD/A変換器2によりアナログ電圧に変換すると、図
3(A)に示す階段波形Saとなる。この図では、横軸
の刻みはクロックの周期を、また縦軸の刻みはアキュム
レータの分解能を電圧に変換した量を表わす。
【0036】この階段波形Saは縦軸の電圧軸上で量子
化されているが、横軸の時間軸上でもパルス幅が周期的
に変化し、大きなスプリアス成分を持っている。
【0037】この階段波形Saは図3(B)の実線に示
す仮想的な鋸波Stに沿って変化している。この鋸波
は、階段波形Saのクロック入力時の値を直線で結ぶと
共に、直線を外挿し、23 =8に対応する電圧と交差す
る時間で0にしたものである。
【0038】鋸波Stはクロックの8周期に3個存在
し、また、時間軸上の幅も等しいことから、周波数成分
は先に示した“数1”で示される周波数の基本波とその
高調波のみからなり、その他のスプリアスは含まないこ
とがわかる。
【0039】図2に示す実施形態では、D/A変換器2
の出力Saを積分器3により平滑化している。この積分
器3の出力Sbを図4(A)の実線に示す。破線はD/
A変換器2の出力Saである。この図4(A)の実線と
図3(B)の仮想的な鋸波Stとを比べると、アキュム
レータ1の累算値が増加している時には両者が一致して
いることがわかる。
【0040】従って、積分器3の出力Sbが増加してい
る時の時間軸情報を利用すればスプリアス成分のない信
号を得ることができる。また積分器3の時定数はクロッ
クの周期のみで決定すれば良く、シンセサイザの出力周
波数とは無関係である。
【0041】積分器3の出力Sbが増加している時の時
間軸情報を利用するために、図2に示す実施形態ではコ
ンパレータ4およびT−FF5を用いている。コンパレ
ータ4の基準電圧を、例えば、図4(A)中の電圧4に
設定した時のコンパレータ4の出力Scを図4(B)に
示す。
【0042】この信号Scはパルス幅が周期的に変化
し、スプリアス成分を含んでいるが、立ち上がりのタイ
ミングは等時間間隔である。すなわち、図4(B)の立
ち上がりのタイミングは、図3(B)の仮想的な鋸波を
電圧“4”で比較した時に得られるものと等しいことが
分かる。
【0043】T−FF5は入力パルスの立ち上がりまた
は立ち下がりごとに出力のハイ・ローを反転させる論理
回路(2安定マルチバイブレータ)である。従って、T
−FF5が立ち上がり動作とすると、図2の出力端子8
に出力される信号Soは図4(C)のようになる。この
出力はデューティ比50%の方形波であり、周波数成分
は“数1”で示される周波数の1/2の周波数の基本波
とその奇数次高調波のみからなり、その他のスプリアス
は含まない。
【0044】出力端子8に接続される回路がデジタル回
路であるならばこの方形波をそのまま使用できる。必要
とあらばローパスフィルタで基本波成分を取り出すか、
またはバンドパスフィルタで高調波成分を取り出せば良
い。また周波数設定データを変更することにより、任意
の周波数を変更できる。
【0045】コンパレータ4の出力Scが図4(B)の
場合と極性が異なる(反転している)場合は、T−FF
5には立ち下がり動作のものを用いることは言うまでも
ない。いずれの場合もアキュムレータ1の累算値が増加
している時に基準電圧と等しくなるタイミングでT−F
F5のハイ・ローを反転する必要がある。
【0046】以上説明したようにこの実施形態によれ
ば、ROMを用いることなく任意の周波数を発生するこ
とが可能であり、かつ、周期的な周波数変動を、原理的
に0にすることができる。
【0047】本発明の第1実施形態を実際にハードウェ
アを用いて構成した時の実験結果を図5(A)〜図7に
示す。図5(A)〜図5(C)はオシロスコープによる
観測波形、図6(A),図6(B)と図7はスペクトラ
ム・アナライザによって観測したスペクトルを示してい
る。また、図5(A)における縦の破線は、その両線の
間隔が800nsであることを、また、図5(C)にお
ける縦の破線は、1.875MHzの1周期を示してい
る。
【0048】これらの図におけるクロック周波数fCLK
は10MHzであり、アキュムレータ1は15ビット、
また周波数設定データKは212×3である。従ってD/
A変換器2の出力Saは“数2”に示すような周波数成
分とスプリアス成分とを含む。
【0049】
【数2】
【0050】このD/A変換器2の出力Saは図5
(A)のような階段波形となり、そのスペクトルは図6
(A)のように希望波3.75MHzとスプリアスとが
観測される。希望波とスプリアスとのレベルさは5dB
程度である。これを積分器3で平滑した波形が図5
(A)のSbである。ここで積分器3はCRのみで構成
した不完全積分器である。
【0051】これをコンパレータ4で電圧比較した出力
Scが図5(B)に示されており、パルス幅が周期的に
変化しているのが分かる。これを立ち上がり動作のT−
FF5に入力した出力結果が図5(C)のSoであり、
デューティ比50%の方形波になっている。そのスペク
トルが図6(B)および図7である。
【0052】“数2”の1/2の周波数3.75/2=
1.875(MHz)の基本波とその奇数次高調波以外
のスプリアスは、基本波に対して30dB以下と大きく
低減している。このスプリアスは、積分器3の出力をよ
り直線に近づけることでより低く抑えることができる。
【0053】以上の実測結果からも、本発明によりRO
Mを用いることなく任意の周波数を発生することがで
き、かつ、周期的な周波数変動に起因するスプリアスを
低減できることがわかる。
【0054】第2実施形態 図8は、本発明によるダイレクト・デジタル・シンセサ
イザの第2実施形態を示すブロック図である。第2実施
形態が、第1実施形態と異なる点は、図2の不完全積分
器3に代えて完全積分器12を用いている点と、D/A
変換器2と積分器12との間に、遅延回路10および差
動増幅器11とを接続した点である。D/A変換器の出
力Saは、差動増幅器11の第1入力端と、遅延回路1
0に供給される。遅延回路10は、信号Saを1クロッ
ク周期だけ遅延した信号Sdを、差動増幅器11の第2
入力端に供給する。差動増幅器11の出力Seは、積分
器12に供給される。これらのD/A変換器2、遅延回
路10および差動増幅器11が差分信号発生回路100
を構成している。
【0055】図9(A)の実線は遅延回路10から出力
された信号Sdを示し、破線は信号Saを示す。差動増
幅器11は、これらの2信号の差分を出力する。図9
(B)は、差動増幅器11の出力Seを示すもので、差
動増幅器11の増幅率が1のときのものである。この図
に示すように、信号Seは、周波数設定データK=3お
よびK−2n =−5に対応する電圧を周期的に繰り返
す。
【0056】この信号を積分器12によって時間積分し
た出力Sbは、図4(A)と同様である。ここでは、積
分器12の時定数は、一定の電圧1を、時間1の間積分
した結果が電圧1になるように設定している。したがっ
て、図9(B)の時刻1から3まで、一定の電圧3を積
分した結果は、図4(A)の時刻3における6となる。
また、次の時刻4においては、この6に−5を加えた1
となる。
【0057】このように、積分器12からは、第1実施
形態と同様の波形が出力される。したがって、それ以降
は、第1実施形態と同様の構成、作用によって、任意の
周波数の出力が得られる。
【0058】なお、第1実施形態の積分器3は、抵抗お
よびコンデンサからなる不完全積分器である。一方、第
2実施形態の積分器12は、抵抗およびコンデンサと、
オペアンプで構成した完全積分器である。このため、第
2実施形態は、第1実施形態よりも、より高精度であ
る。図4(A)は、これらの積分器3および12の出力
波形を概念的に示す図であるため、これらの精度の差は
示していないことに注意されたい。
【0059】図8に示した本発明の第2実施形態では、
差動増幅器11と積分器12とを別個の回路ブロックと
したが、差動型の積分器として一体の回路とすることが
できる。
【0060】この一体の回路例を図10に示す。この図
において、13はオペアンプ、14,15は抵抗器、1
6,17はコンデンサであり、2つの入力端子の差分を
積分して出力する。従って2つの入力端子および出力端
子はそれぞれ、図8に示したD/A変換器2の出力端
子、遅延回路10の出力端子、コンパレータ4の入力端
子に接続すれば良い。
【0061】第3実施形態 図11は本発明によるダイレクト・デジタル・シンセサ
イザの第3実施形態を示す図である。この図において、
数字符号18はワンショット・マルチバイブレータ(1
安定マルチバイブレータ)であり、他の符号は図8と同
一である。
【0062】この実施形態の動作を示すタイムチャート
を図12(A),図12(B)に示す。図12(A)は
コンパレータ4の出力Scであり、図4(B)と同様で
あるので、立ち上がりのタイミングは等時間間隔であ
る。ワンショット・マルチバイブレータ18は入力パル
スの立ち上がりまたは立ち下がりごとに一定の幅のパル
スが1個出力される論理回路であり、ワンショット・マ
ルチバイブレータ18を立ち上がり動作とすると、図1
1の出力端子8に出力される信号Soは図12(B)の
ようになる。
【0063】従って、この出力Soはワンショット・マ
ルチバイブレータ18の設定で決定されるパルス幅を持
った方形波であり、周波数成分は“数1”で示される周
波数の基本波とその奇数次高調波のみからなり、その他
のスプリアスは含まない。出力端子8に接続される回路
がデジタル回路であるならば、この方形波をそのまま使
用できる。
【0064】必要とあらばローパスフィルタで基本波成
分を取り出すか、またはバンドパスフィルタで高調波成
分を取り出せば良い。図8に示した第2実施形態と比較
すると、出力周波数が2倍高くなる。
【0065】コンパレータ4の出力Scが図12(A)
と反転している場合は、ワンショット・マルチバイブレ
ータ18には立ち下がり動作のものを用いる。すなわち
アキュムレータ1の累算値が増加している時に基準電圧
と等しくなるタイミングで、ワンショット・マルチバイ
ブレータ18がパルスを1個出力するようにする。
【0066】第4実施形態 図13は本発明によるダイレクト・デジタル・シンセサ
イザの第4実施形態を示す図である。この図において、
数字符号20はディレイ・フリップフロップ(D−F
F)、21は第2のD/A変換器であり、他の符号は図
8と同一である。これらのD−FF20、D/A変換器
2,21、および差動増幅器11が差分信号発生回路1
00を構成する。D−FFはクロック入力の立ち上がり
の瞬間のD入力の状態を、次のクロック入力の立ち上が
りまで保持する論理回路であり、D入力の内容がクロッ
クの1サイクル分の時間だけ遅れて出力する。
【0067】従ってD−FF20の出力はアキュムレー
タ1の出力を、クロックの1サイクル分の時間だけ遅延
した信号となり、第1のD/A変換器2の出力Saは図
9(A)の破線、第2のD/A変換器21の出力Sdは
図9(A)の実線と等しくなる。このため出力端子8か
ら任意の周波数の信号を取り出すことができる。
【0068】差動増幅器11および積分器12は、図1
0に示すような差動型の積分器とした一体の回路であっ
ても良いし、T−FF5はワンショット・マルチバイブ
レータであっても良い。
【0069】第5実施形態 図14は、本発明によるダイレクト・デジタル・シンセ
サイザの第5実施形態を示すブロック図である。第5実
施形態が、第4実施形態と異なる点は、アキュムレータ
1の出力Daを、全減算器22の第1入力端に供給し、
D−FF20の出力を、全減算器22の第2入力端に供
給した点、および全減算器22の出力を、バイポーラ・
モードのD/A変換器23に供給した点である。したが
って、第4実施形態のD/A変換器2および21は、省
かれている。なお、D−FF20、全減算器22および
D/A変換器23が差分信号発生回路100を構成して
いる。
【0070】全減算器は入力データA,Bに対してA−
Bの演算を行う論理回路であり、バイポーラ・モードの
D/A変換器は±出力を出力するD/A変換器である。
従ってD/A変換器23の出力は図9(B)の信号と等
しくなる。このため出力端子8から任意の周波数の信号
を取り出すことができる。
【0071】全減算器は一般に、A≧Bの場合には差の
真数を出力し、A<Bの場合には差の2の補数を出力す
る。これは2′Sコンプリメント・コード(CTC)と
呼ばれるデジタルコードである。またDBAイポーラ・
モードのD/A変換器は一般に、入力にはオフセット・
バイナリ・コード(COB)が用いられる。CTCから
COBの変換は最上位ビットMSBを反転させるだけで
簡単に得られる。
【0072】またT−FF5はワンショット・マルチバ
イブレータであっても良い。
【0073】第6実施形態 図15は、本発明によるダイレクト・デジタル・シンセ
サイザの第6実施形態を示すブロック図である。第5実
施形態が、図2に示す第1実施形態と異なる点は、T−
FF5の代わりに、ワンショット・マルチバイブレータ
18を使用した点である。このワンショット・マルチバ
イブレータ18の動作は、図11に示す第3実施形態の
ワンショット・マルチバイブレータ18と同様である。
【0074】第7実施形態 図16は、本発明によるダイレクト・デジタル・シンセ
サイザの第7実施形態を示すブロック図である。図にお
いて、入力端子6に入力されたデータKは、アキュムレ
ータ1およびD/A変換器2に供給される。アキュムレ
ータ1から出力されたオーバーフロー信号Sfは、レベ
ル変換回路25によってレベル変換され、信号Sgとし
て差動増幅器11の第2入力端に供給される。一方、D
/A変換器2の出力Shが、差動増幅器11の第1入力
端に供給される。差動増幅器11の出力Seは、積分器
12に供給される。なお、D/A変換器2、レベル変換
器25および差動増幅器11が、差分信号発生回路10
0を構成している。
【0075】図17(A)〜図18(B)および図4
(A)〜図4(C)は、この実施形態の動作を示すタイ
ムチャートである。
【0076】今、アキュムレータ1のビット数nを3と
するとオーバーフローは23 =8で起こる。また周波数
設定データKを3とすると、クロックの入力毎にアキュ
ムレータ1の内容は3、6と累算される。次のクロック
入力で9となるが、オーバーフローを起こし、8を引い
た残りの1を初期値として累算動作を継続する。このア
キュムレータ1の内容を電圧に変換したと仮定すると、
その波形Saは図17(A)に示す階段状に変化する。
図17(A)では、横軸の刻みはクロックの周期を、ま
た縦軸の刻みはアキュムレータの分解能を電圧に変換し
た量を表す。この階段波形Saは縦軸で量子化されてい
るが、横軸の時間軸上でもパルス幅が周期的に変化し、
大きなスプリアス成分を持っている。
【0077】この階段波形Saは図17(B)の実線に
示す仮想的な鋸歯状波Stに沿って変化している。この
鋸歯状波Stは、階段波形Saのクロック入力時の値を
直線で結ぶと共に、直線を外挿し、23 =8に対応する
電圧と交差する時間で0にしたものである。鋸歯状波は
クロックの8周期に3個存在し、また時間軸上の幅も等
しいことから、周波数成分は(1)式で示される周波数
の基本波とその高調波のみからなり、その他のスプリア
スは含まないことがわかる。
【0078】アキュムレータ1のオーバーフロー信号S
fを図17(C)の実線に示す。アキュムレータ1がオ
ーバーフローを起こすとローからハイに立ち上がり、次
のクロック入力でローに戻る。
【0079】このオーバーフロー信号Sfの振幅と直流
レベルとをレベル変換回路25により変換する。レベル
変換回路25の出力SgおよびD/A変換器2の出力S
hを図18(A)に示す。D/A変換器2は周波数設定
データKをアナログ電圧に変換する。図18(A)の縦
軸の数字(この場合は3)は、D/A変換器2にこの値
のデータが入力された時のD/A変換器2の出力電圧を
表す。レベル変換回路25によるレベル変換は、オーバ
ーフロー信号Sfがハイの時の直流レベルとD/A変換
器2に23 =8(デジタルコード1000)を入力した
時の出力の直流レベルとを一致させ、オーバーフロー信
号Sfがローの時の直流レベルとD/A変換器2に0
(デジタルコード0000)を入力した時の出力の直流
レベルとを一致させるように行う。この変換は、D/A
変換器2に8を入力した時の出力と0を入力した時の出
力との差電圧と、オーバーフロー信号Sfのハイ・ロー
レベルの差電圧とが一致するように抵抗分割あるいは増
幅した後、レベル変換回路25の直流レベルをシフトす
れば良い。
【0080】D/A変換器2に実際の2n のデータを入
力するには、n+1ビット以上のデジタル入力端子が必
要である。しかしながら、実際に2n のデータをアナロ
グ変換する必要はなく、D/A変換器2のデジタル入力
端子は、周波数設定データKをアナログ電圧に変換する
のに必要なnビットで良い。nビットの全デジタル入力
を1に設定すると2n −1のデータを入力したことにな
るが、この時のアナログ出力電圧に1LSBに相当する
電圧を加えた値を、2n のデータを入力した時の出力と
すれば良い。
【0081】差動増幅器11の増幅度を1とした場合の
差動増幅器11の出力Se、すなわち差分信号発生回路
100の出力を図18(B)に示す。アナログ電圧3と
−5に対応する電圧を周期的に繰り返す。
【0082】この差動増幅器11の出力Seを時間的に
積分した出力Sbは、図4(A)と同様である。ここで
は積分器12の時定数は、一定の電圧1を、1の時間刻
みで積分した結果が1の電圧になるとしている。時刻1
から時刻3までは、差動増幅器11の出力電圧3を積分
し、その結果、時刻3における電圧6となる。次の時刻
4において、差動増幅器11の出力電圧−5を電圧6に
加えて電圧1となる。
【0083】図4(A)の出力と図17(B)の仮想的
な鋸歯状波とを比べると、アキュムレータ1の累算値が
増加している時には両者が一致していることがわかる。
従って積分器12の出力Sbが増加している時の時間軸
情報を利用すればスプリアス成分のない信号を得ること
ができる。
【0084】レベル変換回路25はアキュムレータ1の
後段に置かずに、D/A変換器2の後段に置いても良い
し、アキュムレータ1およびD/A変換器2の後段に共
に置いても良い。
【0085】差動増幅器11の増幅度や積分器12の時
定数は1である必要はない。積分器12の出力電圧値S
bは増幅度や時定数に比例するので、基準電圧もこれに
合わせれば良い。
【0086】差動増幅器11に入力される、差し引く信
号と差し引かれる信号とが互いに入れ替わっても良い。
この場合、差動増幅器11の出力は図18(B)に示す
波形Seを電圧0を対称軸として反転した信号となり、
積分器12の出力、コンパレータ4の出力が共に反転す
る。コンパレータ4の出力が図4(B)と反転している
場合、T−FF5には立ち下がり動作のものを用いる。
すなわちアキュムレータ1の累算値が増加している時
に、積分器12の出力Sbと基準電圧Vrとが等しくな
るタイミングでT−FF5のハイ・ローを反転するよう
にする。
【0087】図16に示した本発明の第7実施形態で
は、差動増幅器11と積分器12とを別個の回路ブロッ
クとしたが、差動型の積分器として一体の回路とするこ
とができる。この一体の回路例は図10に示した。
【0088】第8実施形態 図19は、本発明によるダイレクト・デジタル・シンセ
サイザの第8実施形態を示すブロック図である。第8実
施形態が、第7実施形態と異なる点は、T−FF5の代
わりに、ワンショット・マルチバイブレータ18を用い
た点である。これについては、図11の第3実施形態で
すでに説明したので、ここでの説明を省略する。
【0089】第9実施形態 図20は、本発明によるダイレクト・デジタル・シンセ
サイザの第9実施形態を示すブロック図である。第9実
施形態が、図14に示す第5実施形態と異なる点は、ア
キュムレータ1のオーバ−フロ−信号Sfをデータセレ
クタ26に供給し、データセレクタ26の出力を、全減
算器22の第1入力端に供給した点と、周波数設定デー
タKを、全減算器22の第2入力端に供給した点であ
る。したがって、図14のD−FF20は、省かれてい
る。なお、データセレクタ26、全減算器22およびD
/A変換器23が、差分信号発生回路100を構成して
いる。
【0090】アキュムレータ1のビット数nに対し、デ
ータセレクタ26の入出力はn+1、またはそれ以上の
ビット数とし、データセレクタ26への入力端子27お
よび28にデータ2n と0とを与える。nを3とする
と、与えるデータは23 =8(デジタルコード100
0)と0(デジタルコード0000)となる。データセ
レクタ26は、アキュムレータ1のオーバーフロー信号
Sfのハイ・ローレベルによって2つのデータを切り換
えて出力する。
【0091】このデータセレクタ26の出力データDb
と周波数設定データKとが共に全減算器22に入力され
る。全減算器22は入力データA,Bに対してA−Bの
演算を行う論理回路であり、A≧Bの場合には差の真数
を出力し、A<Bの場合には差の2の補数を出力する。
また全減算器23は桁借り端子(ボロー端子)を持ち、
A≧Bの場合には0、A<Bの場合には1を出力する。
この桁借り端子の出力を最上位ビット(MSB)とする
と、全減算器22の出力は2′Sコンプリメント・コー
ド(CTC)と呼ばれるデジタルコードとなる。
【0092】バイポーラ・モードのD/A変換器23は
±の両極性のアナログ電圧を出力するD/A変換器であ
る。バイポーラ・モードのD/A変換器は一般に、入力
にはオフセット・バイナリ・コード(COB)が用いら
れる。CTCからCOBの変換は最上位ビットを反転さ
せるだけで簡単に得られる。
【0093】今、周波数設定データKを3(デジタルコ
ード011)とし、アキュムレータ1のオーバーフロー
信号Sfがローの時にデータセレクタ26はデータ0
(デジタルコード0000)を出力し、オーバーフロー
信号Sfがハイの時にデータ8(デジタルコード100
0)を出力するとする。この時、全減算器22の出力S
kは、オーバーフロー信号Sfがローの時は3(デジタ
ルコード00011)、オーバーフロー信号Sfがハイ
の時は−5(デジタルコード11011)となる。ただ
し、全減算器22の出力の最上位ビットは符号を表す桁
借り端子出力である。この最上位ビットを反転してバイ
ポーラ・モードのD/A変換器23に入力することによ
り、オーバーフロー信号Sfがローの時はD/A変換器
23は3に比例するアナログ電圧を出力し、オーバーフ
ロー信号Sfがハイの時はアナログ電圧−5に比例する
アナログ電圧を出力する。
【0094】従ってバイポーラ・モードのD/A変換器
23の出力、すなわち差分信号発生回路100の出力S
eは図18(B)に示される信号と等しくなる。このた
め出力端子8から任意の周波数の信号を取り出すことが
でき、かつ周期的な周波数変動を原理的に0にすること
ができる。
【0095】第10実施形態 図21は、本発明によるダイレクト・デジタル・シンセ
サイザの第10実施形態を示すブロック図である。この
第10実施形態が、第9実施形態と異なる点は、データ
セレクタ26の代わりに、振幅変換回路29、A/D変
換器30およびインバータ31を設けた点である。な
お、振幅変換回路29、A/D変換器30、インバータ
31、全減算器22およびD/A変換器23が、差分信
号発生回路100を構成している。
【0096】アキュムレータ1のビット数nに対し、A
/D変換器30の出力はn+1、またはそれ以上のビッ
ト数とし、アキュムレータ1のオーバーフロー信号Sf
のハイ・ローレベルによってA/D変換器30はデータ
n と0の2つのデータを切り換えて出力する。振幅変
換回路29は、オーバーフロー信号Sfのハイの時にA
/D変換器30がデータ2n を出力し、オーバーフロー
信号Sfのローの時にA/D変換器30がデータ0を出
力するように、オーバーフロー信号Sfの振幅を変換す
る。インバータ31は、オーバーフロー信号Sfの論理
レベルの変化と、A/D変換器30によるアナログ−デ
ジタル変換とが同時に起こる誤動作を防ぐ。アキュムレ
ータ1とA/D変換器30が共にクロックの立ち上がり
動作であるとすると、インバータ31によりA/D変換
器30は、端子7から入力されるクロックの立ち下がり
で動作することになる。このため、A/D変換器30に
よるアナログ−デジタル変換はオーバーフロー信号Sf
の論理レベルの変化に対し、端子7から入力されるクロ
ックのパルス幅だけ遅れた時間で行うことが可能とな
る。
【0097】この実施形態の全減算器22に入力される
信号は、図20に示される実施形態の場合と等しくな
る。このため出力端子8から任意の周波数の信号を取り
出すことができ、かつ周期的な周波数変動を原理的に0
にすることができる。
【0098】インバータ31は、クロックの1周期より
も短い時間の遅延回路であっても良い。
【0099】上記第9実施形態および第10実施形態に
おいて、全減算器22に入力される、差し引く信号と差
し引かれる信号とが互いに入れ替わっても良い。この場
合、バイポーラ・モードのD/A変換器23の出力は電
圧0を対称軸として反転した信号となり、積分器12の
出力Sb、コンパレータ4の出力Scが共に反転する。
コンパレータ4の出力Scが図4(B)と反転している
場合、T−FF5には立ち下がり動作のものを用いる。
すなわちアキュムレータ1の累算値が増加している時
に、積分器12の出力と基準電圧Vrとが等しくなるタ
イミングでT−FF5のハイ・ローを反転するようにす
る。
【0100】またT−FF5はワンショット・マルチバ
イブレータであっても良い。
【0101】
【発明の効果】以上説明したように、本発明によれば、
アキュムレータの累算値が増加している領域の時間軸情
報を利用してシンセサイザ出力を得るため、周期的な周
波数変動に起因するスプリアスを発生させない効果が得
られる。
【図面の簡単な説明】
【図1】従来のダイレクト・デジタル・シンセサイザの
構成例を示すブロック図である。
【図2】本発明によるダイレクト・デジタル・シンセサ
イザの第1実施形態を示すブロック図である。
【図3】(A)は、図2のD/A変換器2の出力Saを
示す波形図、(B)は、(A)の信号Saから得た仮想
的な鋸波Stを示す波形図である。
【図4】(A)は、図2の積分器3の出力Sbの波形を
示す波形図、(B)は、図2のコンパレータ4の出力S
cの波形を示す波形図、(C)は、図2のT−FF5の
出力Soの波形を示す波形図である。
【図5】(A)は、図2のD/A変換器2の出力Sa
と、積分器3の出力Sbの波形を示す波形図、(B)
は、図2の積分器3の出力Sbと、コンパレータ4の出
力Scの波形を示す波形図、(C)は、図2のT−FF
5の出力Soの波形を示す波形図である。
【図6】(A)は、図2のD/A変換器2の出力Saの
スペクトルを示す図、(B)は、図2のT−FF5の出
力Soのスペクトルを示す図である。
【図7】図2のT−FF5の出力Soのスペクトルを示
す図である。
【図8】本発明によるダイレクト・デジタル・シンセサ
イザの第2実施形態を示すブロック図である。
【図9】(A)は、図8の遅延回路10の出力Sdの波
形を示す波形図、(B)は、図8の差動増幅器11の出
力Seの波形を示す波形図である。
【図10】図8の差動増幅器11と積分器12とを一体
化した、差動型積分器を示す回路図である。
【図11】本発明によるダイレクト・デジタル・シンセ
サイザの第3実施形態を示すブロック図である。
【図12】(A)は、図11のコンパレータ4の出力S
cの波形を示す波形図、(B)は、図11のワンショッ
ト・マルチバイブレータ18の出力Soの波形を示す波
形図である。
【図13】本発明によるダイレクト・デジタル・シンセ
サイザの第4実施形態を示すブロック図である。
【図14】本発明によるダイレクト・デジタル・シンセ
サイザの第5実施形態を示すブロック図である。
【図15】本発明によるダイレクト・デジタル・シンセ
サイザの第6実施形態を示すブロック図である。
【図16】本発明によるダイレクト・デジタル・シンセ
サイザの第7実施形態を示すブロック図である。
【図17】(A)は、図16のアキュムレータ1の内容
を、電圧に変換したと仮定したときの波形Saを示す波
形図、(B)は、(A)の信号Saから得た仮想的な鋸
波Stを示す波形図、(C)は、アキュムレータ1のオ
ーバ−フロ−信号Sfの波形を示す波形図である。
【図18】(A)は、図16のD/A変換器2の出力S
h、およびレベル変換回路25の出力Sgの波形を示す
波形図、(B)は、図16の差動増幅器11の出力Se
の波形を示す波形図である。
【図19】本発明によるダイレクト・デジタル・シンセ
サイザの第8実施形態を示すブロック図である。
【図20】本発明によるダイレクト・デジタル・シンセ
サイザの第9実施形態を示すブロック図である。
【図21】本発明によるダイレクト・デジタル・シンセ
サイザの第10実施形態を示すブロック図である。
【符号の説明】
1 アキュムレータ 2,21 D/A変換器 3 入力信号を平滑する積分器 4 コンパレータ 5,20 トグル・フリップフロップ(T−FF) 6 データ入力端子 7 クロック入力端子 8 出力端子 9 基準電圧入力端子 10 遅延回路 11 差動増幅器 12 積分器 13 オペアンプ 14,15 抵抗器 16,17 コンデンサ 18 ワンショット・マルチバイブレータ 20 Dフリップフロップ 22 全減算器 23 バイポーラD/A変換器 25 レベル変換回路 26 データセレクタ 27,28 データセレクタのデータ入力端子 29 振幅変換回路 30 A/D変換器 31 インバータ 32 ROM 33 ローパスフィルタ 100 差分信号発生回路

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 クロック信号が入力される毎に周波数設
    定データKを累積し、累積値がオーバーフローしたとき
    には、計数限界を超えた量を初期値として、前記周波数
    設定データKの累積を継続するアキュムレータと、 前記アキュムレータの累積値の平均増加率を示す信号を
    出力する信号発生回路と、 前記信号発生回路の出力を、予め定められた基準電圧と
    比較するコンパレータと、 前記コンパレータの出力パルスの立ち上がりおよび立ち
    下がりの一方に同期してパルスを発生するパルス発生器
    とを具備することを特徴とするダイレクト・デジタル・
    シンセサイザ。
  2. 【請求項2】 請求項1に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記信号発生回路は、 前記アキュムレータの累積値をアナログ信号に変換する
    D/A変換器と、 前記D/A変換器の出力を平滑化する積分器とを具備す
    ることを特徴とするダイレクト・デジタル・シンセサイ
    ザ。
  3. 【請求項3】 請求項1に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記信号発生回路は、 前記アキュムレータの現在の出力と、前記アキュムレー
    タの1クロック前の出力との差分に相当する信号を出力
    する差分信号発生回路と、 前記差分信号発生回路の出力を時間積分する積分器とを
    具備することを特徴とするダイレクト・デジタル・シン
    セサイザ。
  4. 【請求項4】 請求項3に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記差分信号発生回路は、 前記アキュムレータの累積値をアナログ信号に変換する
    D/A変換器と、 前記D/A変換器の出力に遅延を与える遅延回路と、 前記D/A変換器の出力と前記遅延回路の出力とを入力
    とする差動増幅器とを具備することを特徴とするダイレ
    クト・デジタル・シンセサイザ。
  5. 【請求項5】 請求項3に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記差分信号発生回路は、 前記アキュムレータの累積値をアナログ信号に変換する
    第1のD/A変換器と、 前記アキュムレータの累積値を前記クロック信号の1ク
    ロック分遅延するディレイ・フリップフロップと、 前記ディレイ・フリップフロップの出力をアナログ信号
    に変換する第2のD/A変換器と、 前記第1のD/A変換器の出力および前記第2のD/A
    変換器の出力を入力とする差動増幅器とを具備すること
    を特徴とするダイレクト・デジタル・シンセサイザ。
  6. 【請求項6】 請求項4記載のダイレクト・デジタル・
    シンセサイザにおいて、前記差動増幅器と前記積分器と
    は、差動型の積分器に一体化されたことを特徴とするダ
    イレクト・デジタル・シンセサイザ。
  7. 【請求項7】 請求項5に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記差動増幅器と前記積分器
    とは、差動型の積分器に一体化されたことを特徴とする
    ダイレクト・デジタル・シンセサイザ。
  8. 【請求項8】 請求項3に記載のダイレクト・デジタル
    ・シンセサイザにおいて、前記差分信号発生回路は、 前記アキュムレータの累積値を前記クロック信号の1ク
    ロック分遅延するディレイ・フリップフロップと、 前記アキュムレータの累積値および前記ディレイ・フリ
    ップフロップの出力の差をとる全減算器と、 該全減算器の出力をアナログ信号に変換するD/A変換
    器とを具備することを特徴とするダイレクト・デジタル
    ・シンセサイザ。
  9. 【請求項9】 請求項1に記載のダイレクト・デジタル
    ・シンセサイザにおいて、 前記アキュムレータは、前記累積値が2n (nは正の整
    数)以上になった時にオーバーフロー信号を出力するn
    ビットのアキュムレータであり、 前記信号発生回路は、前記オーバーフロー信号のレベル
    に応じて、前記周波数設定データKに比例した電圧と、
    K−2n に比例した電圧とを切り換えて出力する差分信
    号発生回路と、 前記差分信号発生回路の出力を時間積分する積分器とを
    具備することを特徴とするダイレクト・デジタル・シン
    セサイザ。
  10. 【請求項10】 請求項9に記載のダイレクト・デジタ
    ル・シンセサイザにおいて、前記差分信号発生回路は、 前記周波数設定データKをアナログ信号に変換するD/
    A変換器と、 前記アキュムレータのオーバーフロー信号のレベルを変
    換するレベル変換回路であって、その出力の直流レベル
    が、前記オーバーフロー信号がハイのときには、前記D
    /A変換器に2n のデータを入力したときに該D/A変
    換器から得られる出力の直流レベルに一致し、前記オー
    バーフロー信号がローのときには、前記D/A変換器に
    0のデータを入力したときに該D/A変換器から得られ
    る出力の直流レベルに一致するレベル変換回路と、 前記レベル変換回路の出力および前記D/A変換器の出
    力を入力とする差動増幅器とを具備することを特徴とす
    るダイレクト・デジタル・シンセサイザ。
  11. 【請求項11】 請求項10に記載のダイレクト・デジ
    タル・シンセサイザにおいて、前記差動増幅器と前記積
    分器とは、差動型の積分器に一体化されたことを特徴と
    するダイレクト・デジタル・シンセサイザ。
  12. 【請求項12】 請求項9に記載のダイレクト・デジタ
    ル・シンセサイザにおいて、前記差分信号発生回路は、 前記アキュムレータのオーバーフロー信号のレベルに応
    じて、2n に相当するデータと、0に相当するデータと
    を切り換えて出力するデータセレクタと、 前記データセレクタの出力データと前記周波数設定デー
    タKとの差分を出力する全減算器と、 該全減算器の出力をアナログ信号に変換するD/A変換
    器とを具備することを特徴とするダイレクト・デジタル
    ・シンセサイザ。
  13. 【請求項13】 請求項9に記載のダイレクト・デジタ
    ル・シンセサイザにおいて、前記差分信号発生回路は、 前記アキュムレータのオーバーフロー信号のレベルに応
    じて、2n に相当するデータと、0に相当するデータと
    を切り換えて出力するA/D変換器と、 前記A/D変換器の出力と前記周波数設定データKとの
    差分を出力する全減算器と、 該全減算器の出力をアナログ信号に変換するD/A変換
    器とを具備することを特徴とするダイレクト・デジタル
    ・シンセサイザ。
  14. 【請求項14】 請求項13に記載のダイレクト・デジ
    タル・シンセサイザにおいて、前記アキュムレータと前
    記A/D変換器との間に接続され、前記オーバーフロー
    信号のレベルを変換する振幅変換回路をさらに具備する
    ことを特徴とするダイレクト・デジタル・シンセサイ
    ザ。
  15. 【請求項15】 請求項14に記載のダイレクト・デジ
    タル・シンセサイザにおいて、入力端に前記クロック信
    号が供給され、出力端が前記A/D変換器のクロック入
    力端に接続されたインバータをさらに具備することを特
    徴とするダイレクト・デジタル・シンセサイザ。
  16. 【請求項16】 請求項1〜15のいずれかの項に記載
    のダイレクト・デジタル・シンセサイザにおいて、前記
    パルス発生器は、トリガ・フリップフロップであること
    を特徴とするダイレクト・デジタル・シンセサイザ。
  17. 【請求項17】 請求項1〜15のいずれかの項に記載
    のダイレクト・デジタル・シンセサイザにおいて、前記
    パルス発生器は、ワンショット・マルチバイブレータで
    あることを特徴とするダイレクト・デジタル・シンセサ
    イザ。
JP12439896A 1995-05-22 1996-05-20 ダイレクト・デジタル・シンセサイザ Expired - Fee Related JP3417517B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP12439896A JP3417517B2 (ja) 1995-05-22 1996-05-20 ダイレクト・デジタル・シンセサイザ

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP12210395 1995-05-22
JP17632495 1995-07-12
JP7-122103 1995-07-12
JP7-176324 1995-07-12
JP12439896A JP3417517B2 (ja) 1995-05-22 1996-05-20 ダイレクト・デジタル・シンセサイザ

Publications (2)

Publication Number Publication Date
JPH0983250A true JPH0983250A (ja) 1997-03-28
JP3417517B2 JP3417517B2 (ja) 2003-06-16

Family

ID=27314390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP12439896A Expired - Fee Related JP3417517B2 (ja) 1995-05-22 1996-05-20 ダイレクト・デジタル・シンセサイザ

Country Status (1)

Country Link
JP (1) JP3417517B2 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006087059A (ja) * 2004-09-14 2006-03-30 Nippon Precision Circuits Inc 等間隔パルス列生成装置および生成方法
KR100572308B1 (ko) * 1998-12-21 2007-03-02 삼성전자주식회사 주파수 체배기_
JP2009290867A (ja) * 2008-05-21 2009-12-10 Honeywell Internatl Inc 信号を合成するためのシステムおよび方法
JP2010130550A (ja) * 2008-11-28 2010-06-10 Seiko Epson Corp クロック発生装置およびクロック発生装置におけるジッタ低減方法
JP2012217170A (ja) * 2011-03-31 2012-11-08 Nippon Dempa Kogyo Co Ltd 信号生成器

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100572308B1 (ko) * 1998-12-21 2007-03-02 삼성전자주식회사 주파수 체배기_
JP2006087059A (ja) * 2004-09-14 2006-03-30 Nippon Precision Circuits Inc 等間隔パルス列生成装置および生成方法
JP2009290867A (ja) * 2008-05-21 2009-12-10 Honeywell Internatl Inc 信号を合成するためのシステムおよび方法
JP2010130550A (ja) * 2008-11-28 2010-06-10 Seiko Epson Corp クロック発生装置およびクロック発生装置におけるジッタ低減方法
US8339160B2 (en) 2008-11-28 2012-12-25 Seiko Epson Corporation Clock generating device and jitter reducing method in the clock generating device
JP2012217170A (ja) * 2011-03-31 2012-11-08 Nippon Dempa Kogyo Co Ltd 信号生成器

Also Published As

Publication number Publication date
JP3417517B2 (ja) 2003-06-16

Similar Documents

Publication Publication Date Title
US7173554B2 (en) Method and a digital-to-analog converter for converting a time varying digital input signal
JPH06252645A (ja) 直接デジタル周波数シンセサイザ
US5789950A (en) Direct digital synthesizer
JP3417517B2 (ja) ダイレクト・デジタル・シンセサイザ
JP2024023650A (ja) A/d変換回路
EP0389032B1 (en) Pulse generators
JP3532237B2 (ja) 電圧/周波数変換装置
JPH05206732A (ja) 周波数シンセサイザ
JPH114166A (ja) 逐次比較型a/d変換器
US4851844A (en) D/A converter with switched capacitor control
JP2733528B2 (ja) 位相ロック・ループ用部分パルス・ハイト型基準周波数発生回路
JP3411817B2 (ja) 周波数シンセサイザ
US6518801B1 (en) Alias suppression method for 1-bit precision direct digital synthesizer
JP3424198B2 (ja) ダイレクト・デジタル・シンセサイザ
KR100422394B1 (ko) 짝수차항 고조파 주파수 체배기를 이용한 광대역 직접디지털 주파수 합성기
RU2145149C1 (ru) Сигма-дельта-аналого-цифровой преобразователь
JPH1075121A (ja) ダイレクト・デジタル・シンセサイザ
JP2715211B2 (ja) 位相ロック・ループ用の部分積分重畳型基準周波数発生方法、およびその基準周波数発生回路
KR20060027163A (ko) 위상누적기를 이용한 디지털 주파수 합성기
Karpagavalli et al. Design of Direct Digital Frequency Synthesizer with the Technique of Segmenting in Quarter Wave
JP3611043B2 (ja) 周波数スイープ機能付き波形発生器
JPS609374B2 (ja) 位相同期発振器
JPH09260950A (ja) 周波数シンセサイザ
JPH0221802Y2 (ja)
JPH0661742A (ja) 位相ロック・ループ用の部分積分切替え型基準周波数発生方法、およびその基準周波数発生回路

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090411

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees