JPH0969400A - プラズマ処理装置 - Google Patents

プラズマ処理装置

Info

Publication number
JPH0969400A
JPH0969400A JP8156141A JP15614196A JPH0969400A JP H0969400 A JPH0969400 A JP H0969400A JP 8156141 A JP8156141 A JP 8156141A JP 15614196 A JP15614196 A JP 15614196A JP H0969400 A JPH0969400 A JP H0969400A
Authority
JP
Japan
Prior art keywords
plasma
processing chamber
sheath
processing apparatus
corner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8156141A
Other languages
English (en)
Other versions
JP2814370B2 (ja
Inventor
Kazuya Nagaseki
一也 永関
Kazunori Nagahata
和典 長畑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP8156141A priority Critical patent/JP2814370B2/ja
Priority to US08/664,500 priority patent/US5942075A/en
Publication of JPH0969400A publication Critical patent/JPH0969400A/ja
Application granted granted Critical
Publication of JP2814370B2 publication Critical patent/JP2814370B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 プロセスガスの一部は活性種が未反応のまま
再結合するなどしてCXY、CXYZ等のフロロカー
ボン系の重合体等が不揮発性の反応生成物として生成
し、これらが処理室内の天面及び底面と側壁とで形成さ
れる隅角部に付着して堆積し、この堆積物がパーティク
ルの原因になる。 【解決手段】 本プラズマ処理装置は、処理室1内の上
部電極2には高周波電力を印加すると共に下部電極3に
は低周波電力を印加して処理室1内でプラズマを発生さ
せ、処理室1内の下部電極3上に配置された半導体ウェ
ハWにプラズマ処理を施す装置で、上記処理室1内で半
導体ウェハW以外の固体表面により形成される偶角部
(湾曲表面22A、23A、24A、25A)とそれ以
外の部分(処理室1の内周面、天面、底面等)は、それ
ぞれの表面とプラズマP間のシースSの厚さがいずれに
おいても略均一(シースSのいずれにおいても電界強度
が略均一)になる表面形状として形成されていることを
特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマ処理装置
に関する。
【0002】
【従来の技術】半導体製造工程にはプラズマを用いた処
理工程として、例えば成膜工程、エッチング工程、アッ
シング工程等がある。これらの工程では成膜用あるいは
成膜除去用として種々のプロセスガスが用いられてい
る。これらのプロセスガスは、プラズマ発生手段により
プラズマ化してそれぞれの目的に応じて半導体ウエハ表
面の化学組成物と反応し、揮発性の反応生成物を排気し
て所期のプロセスを完結するようにしてある。
【0003】このようなプラズマ処理においては、従来
から例えば平行平板式電極等の種々のプラズマ発生手段
が用いられている。その中でも平行平板式電極を備えた
プラズマ処理装置は、均一性に優れ、大口径の半導体ウ
エハの処理が可能であるなどの長所を有し、また、装置
構成も比較的簡単であることから、数多く採用されてい
る。
【0004】平行平板型のプラズマ処理装置は、一般的
に、処理室内で半導体ウエハを保持する下部電極と、こ
の下部電極と隙間を介して上方で平行に対向する上部電
極とを備え、例えば上部電極の下部電極との対向面に形
成された多数の孔から反応性ガスを供給しながら下部電
極に高周波電力を印加してこれら両電極間でプラズマを
発生させ、このプラズマにより半導体ウエハのエッチン
グ処理などを行うようにしてある。そして、例えば半導
体ウエハの表面に形成されたシリコン酸化膜、シリコン
窒化膜などにエッチング処理を施す際には、従来からプ
ロセスガスとしてCF4、CHF3等のCF系ガスなどが
用いられている。例えばCF系ガスによるエッチング処
理の場合には、CF系ガスがプラズマ化してイオン、ラ
ジカル等の活性種が生成すると、これらの活性種が所定
のパターンで露呈したシリコン酸化膜等の化学組成物と
物理化学的に反応してSiF4、CO2などの揮発性ガス
が生成し、この揮発性ガスは処理室内から外部へ排出、
除去される。
【0005】
【発明が解決しようとする課題】しかしながら、従来の
プラズマ処理装置の場合には、エッチング等のプラズマ
処理によりプロセスガスがウエハ表面の化学組成物と完
全に化学量論的に反応すればその反応生成物は上述のよ
うに処理室外へ排出されるが、プロセスガスの一部は未
反応のまま排出され、また一部は活性種が未反応のまま
再結合するなどしてCXY、CXYZ等のフロロカー
ボン系の重合体等が不揮発性の反応生成物として生成す
る。そして、これらは処理室内面に付着し勝ちである
が、この付着状態についてよくよく観察すると特に処理
室の天面及び底面と側壁とで形成される隅角部に多く付
着し、堆積していることが多く、この堆積物がパーティ
クルの原因になるという課題があった。
【0006】本発明は、上記課題を解決するためになさ
れたもので、プラズマ処理時に発生する不揮発性の反応
生成物が処理室内の固体表面で形成される隅角部等の特
定の部分に集中的に付着、堆積することを防止し、もっ
てパーティクルの発生を抑制できるプラズマ処理装置を
提供することを目的としている。
【0007】
【課題を解決するための手段】本発明者らは、処理室内
の隅角部に反応生成物が付着、堆積し易い点について鋭
意研究を重ねた結果、特に処理室内でプラズマ領域を囲
む固体表面のうち、固体表面の隅角部ではプラズマ処理
時の反応生成物が滞留し易いことが判った。しかもプラ
ズマはその空間の表面積をできるだけ小さくする傾向を
示すにも拘らず、前述した処理室内の隅角部の断面形状
が略直角に形成されているため、プラズマ領域端とこの
隅角部との間に形成されるシースでは電界強度が他の部
分と比較して弱く(等電位線の間隔が広く)、この隅角
部では他の部分よりもスパッタリング作用を受け難いた
め、隅角部には他の部分よりも不揮発性の反応生成物が
集中的に堆積する傾向にあることを知見した。
【0008】本発明は上記知見に基づいてなされたもの
で、請求項1に記載のプラズマ処理装置は、処理室内で
プラズマ発生手段によりプラズマを発生させ、その処理
室内に配置された被処理体にプラズマ処理を施すプラズ
マ処理装置において、上記処理室内の被処理体以外の固
体表面は、その表面と上記プラズマ間に形成されたシー
ス厚がいずれにおいても略均一になる表面形状として形
成されていることを特徴とするものである。
【0009】また、本発明の請求項2に記載のプラズマ
処理装置は、処理室内に対向配置された一対の第1、第
2電極の少なくとも一方に高周波電力を印加してプラズ
マを発生させ、上記処理室内の電極に配置された被処理
体にプラズマ処理を施すプラズマ処理装置において、上
記処理室内で被処理体以外の固体表面により形成される
隅角部とそれ以外の部分は、それぞれの表面と上記プラ
ズマ間のシース厚がいずれにおいても略均一になる表面
形状として形成されていることを特徴とするものであ
る。
【0010】また、本発明の請求項3に記載のプラズマ
処理装置は、請求項2に記載の発明において、上記被処
理体が配置された電極の外周と上記処理室内周面との間
に上記被処理体側にプラズマを閉じ込めるリング状封止
手段が設けられていることを特徴とするものである。
【0011】また、本発明の請求項4に記載のプラズマ
処理装置は、請求項2または請求項3に記載の発明にお
いて、上記処理室内で固体表面により形成される隅角部
の表面が他の部分のシース厚と略等しくなる湾曲表面と
して形成されていることを特徴とするものである。
【0012】また、本発明の請求項5に記載のプラズマ
処理装置は、請求項4に記載の発明において、上記湾曲
表面はシース厚を一定にする曲率半径を有することを特
徴とするものである。
【0013】また、本発明の請求項6に記載のプラズマ
処理装置は、請求項5に記載の発明において、上記曲率
半径は2cm以上であることを特徴とするものである。
【0014】
【作用】本発明の請求項1に記載の発明によれば、処理
室内において真空放電やマイクロ波照射、あるいはこれ
らを磁場印加手段と併用するなどのプラズマ発生手段に
よりプラズマを発生させると、このプラズマにより処理
室内に配置された被処理体に所定の処理を施すことがで
きる。この際、プラズマは処理室内全体に広がってプラ
ズマ領域を形成し、このプラズマ領域端とプラズマを囲
む処理室内の固体表面との間にシースが形成され、この
時のシース厚がいずれの固体表面においても略均一にな
ってシース全領域内での電界強度が略均一になり、被処
理体以外の固体表面のいずれにおいても略均一なスパッ
タリング作用を受けるため、不揮発性の反応生成物が固
体表面の特定の部分に集中的に堆積することを防止する
ことができる。
【0015】また、本発明の請求項2に記載の発明によ
れば、例えば第1、第2電極の少なくとも一方に高周波
電力を印加して処理室の内壁面等の固体表面で囲まれた
処理空間でプラズマを発生させると、このプラズマは両
電極間の隙間から処理室内全体に広がってプラズマ領域
を形成し、このプラズマ領域端とプラズマを囲む処理室
内の固体表面との間にシースが形成され、このシース厚
がいずれの固体表面、つまり隅角部や平面部等のいずれ
の表面においても略均一になって隅角部でも他の平面部
分等と同様に略均一なスパッタリング作用を受けるた
め、従来は不揮発性の反応生成物が集中的に堆積しがち
であった隅角部にこのような反応生成物が集中的に堆積
することを防止することができる。
【0016】また、本発明の請求項3に記載の発明によ
れば、請求項2に記載の発明において、例えば第1、第
2電極のいずれかに被処理体を配置し、たリング状封止
手段により被処理体側の空間をそれ以外の空間から封止
した後、第1、第2電極の少なくとも一方に高周波電力
を印加してプラズマを発生させると、このプラズマは両
電極間の隙間からリング状封止手段により封止された被
処理体側の空間全体に広がってプラズマ領域を形成し、
このプラズマ領域端とプラズマを囲む固体表面との間に
シースが形成され、このシース厚がいずれの固体表面、
つまり隅角部や平面部等のいずれの表面においても略均
一になって隅角部でも他の平面部分と同様に略均一なス
パッタリング作用を受けるため、従来は不揮発性の反応
生成物が集中的に堆積しがちであった隅角部にこのよう
な反応生成物が集中的に堆積することを防止することが
できる。
【0017】また、本発明の請求項4に記載の発明によ
れば、請求項2または請求項3に記載の発明において、
上記処理室内で固体表面により形成される隅角部の表面
が他の部分のシース厚と略等しくなる湾曲表面として形
成されているため、固体表面により形成される隅角部と
その他の部分とで略均一なシース厚を作ることができ
る。
【0018】また、本発明の請求項5及び請求項6に記
載の発明によれば、請求項4に記載の発明において、上
記湾曲表面はシース厚を一定にする曲率半径例えば2c
m以上を有するため、上記固体表面により形成される隅
角部の表面全体で略均一なシース厚を作ることができ
る。
【0019】
【発明の実施の形態】以下、図1〜図6に示す実施形態
に基づいて本発明を説明する。本実施形態のエッチング
処理装置は、被処理体である半導体ウエハWの表面に形
成されたシリコン酸化膜をエッチング処理する場合に用
いられるもので、図1に示すように、所定の真空度を保
持できる気密構造の処理室1と、この処理室1内に配設
された上下一対の電極2、3とを備え、下部電極3上に
半導体ウエハWを載置してエッチング処理を行うように
構成されている。
【0020】そして、処理室1は、表面がアルマイト処
理されたアルミニウムにより略円筒状に形成され、しか
も接地されている。処理室1の側壁には排気用ノズル1
Aが取り付けられている。この排気用ノズル1Aには真
空ポンプ4が排気管5を介して接続され、真空ポンプ4
により処理室1内を真空排気して所定の真空度例えば1
0Paに維持するようにしてある。また、処理室1の側
壁には処理室1内に半導体ウエハWを搬入するための搬
入口1Bが形成され、この搬入口1Bにはゲートバルブ
6を介してロードロック室7が接続されている。そし
て、ウエハ搬送機構7Aにより半導体ウエハWをロード
ロック室7から処理室1内へ搬入し、処理室1内から搬
出するようにしてある。
【0021】上部電極2は、偏平な中空円盤構造として
形成され、処理室1の天面中央に形成された孔に絶縁部
材8を介して装着されている。この上部電極2の上面2
Aにはプロセスガスを受給する受給配管2Bが接続さ
れ、また、下部電極3との対向面2C全面には受給配管
2Bから受給されたプロセスガスを処理室1内へ分散供
給するガス供給孔2Dが均等に分散して形成されてい
る。更に、上部電極2には例えば高周波電源9がマッチ
ング回路10を介して接続され、この高周波電源9から
マッチング回路10を介して上部電極2に例えば27.
12MHzの高周波電力を印加するようにしてある。
【0022】下部電極3は、アルミニウムなどにより円
柱状に形成され、セラミック等の絶縁部材11を介して
処理室1の底面に配設され、その軸心が処理室1の軸心
と一致している。この下部電極3には低周波電源12が
マッチング回路13を介して接続され、この低周波電源
12によりマッチング回路13を介して下部電極3に例
えば800KHzの低周波電力を印加し、27.12M
Hzの高周波電力が印加された上部電極2との間でプロ
セスガスを介して放電しプラズマPを発生させるように
してある。そして、このように上部電極2に高周波電力
を印加し、下部電極3に低周波電力を印加することによ
り高密度のプラズマPが発生すると共に、下部電極3の
低周波電力によりプラズマP中のイオン種が半導体ウエ
ハWに引き寄せられ、半導体ウエハWのエッチング速度
を高めるようにしてある。
【0023】更に、下部電極3内にはリング状の冷媒室
3Aが形成され、この冷媒室3Aに冷媒配管3Bを介し
てパーフルオロポリエーテル等の冷媒14を循環させて
下部電極3を冷却するようにしてある。この冷媒室3A
の上側にはリング状のセラミックヒータ3Cが埋設さ
れ、このセラミックヒータ3Cからの加熱と冷媒室3A
からの冷却により下部電極3を所定温度に適宜調整し、
もって半導体ウエハWの温度を調できるようにしてあ
る。また、下部電極3の上面には静電チャック15が配
設され、この静電チャック15により半導体ウエハWを
静電吸着するようにしてある。そして、下部電極3上面
の外周縁部にはフォーカスリング16が配設され、この
フォーカスリング16により上下の両電極2、3間で発
生したプラズマP中の活性種を半導体ウエハWへ集束す
る。尚、セラミックヒータ3Cには電源3Dが接続さ
れ、この電源3Dによりセラミックヒータ3Cによる加
熱温度を適宜調整するようにしてある。また、静電チャ
ック15には直流電源15Aが接続され、この直流電源
15Aにより静電チャック15に高電圧を印加し、その
時のクーロン力により半導体ウエハWを吸着するように
してある。
【0024】そして、本実施形態では、プロセスガスと
して例えばテトラフルオロカーボン(CF4)ガス及び
トリフルオロハイドロカーボン(CHF3)ガスを所定
の配合比で供給するようにしてあり、CF4ガス及びC
HF3ガスは第1、第2ガス供給源17A、17Bから
それぞれの配管18A、18Bを介して供給され、受給
配管2Bにおいて合流し、上部電極2内へ流入するよう
にしてある。各配管18A、18Bには上流側から下流
側へマスフローコントローラ19A、19B及びバルブ
20A、20Bが順次配設され、これら両者によってC
4ガスとCHF3ガスの配合比を適宜調整し、所定比の
プロセスガスの流量は受給配管2Bのバルブ21により
適宜調整するようにしてある。
【0025】ところで、本実施形態では、図1、図2に
示すように処理室1の天面と側壁で作る隅角部、底面と
側壁で作る隅角部、あるいは上部電極2の周面と処理室
1の天面で作る隅角部、及び下部電極3の周面と処理室
1の底面で作る隅角部には石英等の絶縁性部材により形
成されたリング状部材22、23、24、25が取り付
けられている。これらのリング状部材22、23、2
4、25はいずれもプラズマPを囲む表面がプラズマP
の外端に即して湾曲形成され、これらの部分で不揮発性
のラジカル種が流れ易く、滞留しないようにしてある。
【0026】しかも、これらの湾曲表面22A、23
A、24A、25Aは、それらの表面とプラズマPとの
間に形成されるシースSの厚さが、図2で誇張して示す
ように、その他の部分、例えば処理室1の内周面、天
面、底面や、下部電極3の表面で形成されるシース厚と
略等しくなり、プラズマPを囲む固体表面の全領域でシ
ースSの厚さが略均一になるようにしてある。このこと
は換言すれば、これらの湾曲表面22A、23A、24
A、25AにおけるシースSの等電位線の間隔が、図2
に示すように、その他の部分と略同一で、プラズマPを
囲む半導体ウエハW以外の固体表面の全領域でシースS
における電界強度が略均一になるようにしてある。シー
スSが固体表面の全領域で均一であることは、プラズマ
処理中にプラズマPの空間が明るく、シースSが暗く観
測されるために、視覚的に確認することができる。尚、
リング状部材22、23、24、25の代わりに、それ
ぞれの隅角部を処理室1や上下の電極2、3と一体的に
湾曲形成したものであっても良い。
【0027】ところで、上述のようにリング状部材2
2、23、24、25は絶縁性部材により形成されてい
るため、これらのリング状部材は浮動電位を持つことに
なる。そのため、リング状部材22、23、24、25
は接地されている処理室1の壁面に比べて数ボルト(3
〜6ボルト)高い電位になる。しかしながら、各リング
状部材22、23、24、25の電位は本実施形態のエ
ッチング処理装置のプロセス条件の下で発生するプラズ
マ電位(40〜50ボルト)と比べて十分に小さいた
め、シースSにおける電界強度は全て略均一になる。各
リング状部材22、23、24、25は、処理室1の壁
面と同じ材質例えばアルマイト処理されたアルミニウム
で作っても良いが、この場合には処理室1の壁面と上部
電極2または下部電極3との絶縁に配慮する必要があ
る。
【0028】さて、次に処理室の隅角部の湾曲形状につ
いて考察する。それにはまず、外部から直角な隅角部を
観察できるようにした、実際の処理装置と略等しい放電
容器1(便宜上、放電容器には上述した処理室と同一符
号を付けた)を用いてその内部でプラズマを発生させ、
この放電容器1の隅角部におけるシース(暗部)Sの形
状を観察したところ、シースS、換言すればプラズマP
の外端は図3に示すように略円弧を描く形状であった。
この円弧の曲率半径をrとすると、rは電力や圧力など
の放電条件によって異なるが、実際のプロセスで使用す
る放電条件下では、rは1〜2cm程度であった。放電
容器の隅角部でプラズマPが曲率半径rを有するのは、
定性的には概ね次のように説明することができる。
【0029】即ち一般的に、図4の(a)、(b)に示
すようにある空間がプラズマPとして維持されるために
は、プラズマP中のある荷電粒子qが作る電界が、距離
αの範囲に含まれる逆の電荷を持つ荷電粒子が作る電界
で打ち消され、その範囲外の空間が電気的に中性となっ
ていなければならない。この条件が成立するための距離
αは、デバイ長λdの5倍程度である(水野幸雄著:プ
ラズマ物理学、共立出版(株)pp9〜12)。そこ
で、図5に示すように平面部のシースの境界線をm1
2、隅角部のシースの境界が作る円弧の接線をm0とす
る。隅角部で上述の中性条件が満たされるためには接線
0と境界線m1、m2それぞれとの交点で作られる線分
0の長さが2αより小さくならないと考えられる。従
って、プラズマの曲率半径rは次式で示すように見積る
ことができる。 r=(1+√2)α≒12λd(但し、α≒5λd) 尚、λdは、上記文献等で示されるデバイ長を求める式
にプラズマパラメータ(プラズマ密度(正イオン密
度):ni、電子温度:Te、プラズマ電位:Vp)を代
入することにより算出することができる。
【0030】そこで、図3に示す放電容器1によりプラ
ズマPの曲率半径rを具体的に測定した上記放電条件に
おいて、ラングミュアプローブを用いて放電容器1の壁
近傍のプラズマパラメータを測定したところ、次の通り
であった。 107cm-3<ni<108cm-3 3eV<Te<4eV 40V<Vp<50V そして、これらの測定値を上記文献の式に代入してデバ
イ長λdを算出し、このデバイ長λdの算出値を上記式に
代入してプラズマの曲率半径rを算出したところ、rは
1〜3cmであり、観察値(1〜2cm)と略一致し
た。
【0031】一方、隅角部の影響のない平面部分でのシ
ース厚dは、例えば上述したプラズマパラメータをチャ
イルド−ラングミュアの法則を表す式(堤井信力著:プ
ラズマ基礎工学、内田老鶴圃pp27〜29)に代入す
ることにより算出することができ、この条件下ではシー
ス厚dは1〜3cmと算出することができる。
【0032】従って、放電容器1の隅角部に付着物が付
かないようにするためには隅角部の曲率半径Rは、R≧
r+dとして与えられる。このような曲率半径Rを設け
ることで、隅角部においてもシース厚dが隅角部の影響
のない平面部におけるシース厚と同じになり、隅角部で
あっても付着物が付かなくなる。本装置においては隅角
部の曲率半径RがR≧2〜3cmに設定すれば隅角部に
付着物が付かないことが確認された。尚、隅角部に形成
される湾曲部の曲率半径は、上述のようにプラズマパラ
メータに基づいて計算により求めることができ、また、
プラズマPを直接観察することによっても求めることが
できる。
【0033】次に動作について説明する。ゲートバルブ
6を開放した後、ロードロック室7内のウエハ搬送機構
7Aが駆動し、半導体ウエハWを処理室1内の静電チャ
ック15上へ搬入する。この時、静電チャック15には
高電圧が印加されており、そのクーロン力で半導体ウエ
ハWを静電チャック15上に吸着する。ウエハ搬送機構
7Aは半導体ウエハWを搬入した後、ゲートバルブ6か
ら後退してロードロック室7内に納まり、ゲートバルブ
6を閉じる。
【0034】その後、真空ポンプ4が駆動して処理室1
内を真空排気し、所定の真空度に到達したら、第1ガス
供給源17AからCF4ガスを、第2ガス供給源17B
からCHF3ガスをプロセスガスとして供給する。プロ
セスガスの流量比(CF4/CHF3)はマスフローコン
トローラ19A、19B、バルブ20A、20B及びバ
ルブ21により例えば(25sccm/75sccm)
に調整する。そして、プロセスガスを供給する間も真空
引きし、処理室1内のプロセスガス圧が10Paに設定
し、維持する。
【0035】この状態で例えば上部電極2に2000W
の高周波電力を印加すると共に下部電極3に800Wの
低周波電力を印加すると、両電極2、3間でプラズマP
が発生する。これによりプロセスガスが解離してフッ素
ラジカルを生成し、このフッ素ラジカルにより半導体ウ
エハWのシリコン酸化膜をエッチングする。このプラズ
マPは両電極2、3間からプラズマPを囲む処理室1内
の固体表面の各隅角部、即ちリング状部材の各湾曲表面
22A、23A、24A、25Aとそれ以外の部分まで
広がり、それぞれの表面との間にシースSを形成する。
【0036】この時、各隅角部は湾曲表面22A、23
A、24A、25Aとして形成されているため、これら
の隅角部において不揮発性の反応生成物が滞留すること
がない。また、これらの湾曲表面22A、23A、24
A、25Aの湾曲形状は、これらの隅角部とそれ以外の
部分におけるシース厚がいずれにおいても略均一になる
ように形成されているため、シースS全領域での電界強
度が略均一になって、これらの隅角部でも他の部分と同
様に略均一なスパッタリング作用を受けるため、不揮発
性の反応生成物が各隅角部に集中的に堆積することを防
止することができる。そのため、このようなエッチング
処理を繰り返し行って処理室1の内壁面を観察してみて
も、処理室1内の隅角部の湾曲表面22A、23A、2
4A、25Aは処理室1の他の内壁面と同様の状態であ
り、これらの部分(隅角部の表面)に不揮発性の反応生
成物が集中的に付着し、堆積することがなかった。
【0037】以上説明したように本実施形態によれば、
処理室1内のプラズマPを囲む半導体ウエハW表面以外
の固体表面の隅角部にリング状部材22、23、24、
25を取り付けてそれぞれの隅角部にプラズマPの外端
形状に即した湾曲表面22A、23A、24A、25A
を設けたため、プラズマ処理時に隅角部の湾曲表面22
A、23A、24A、25Aも他の平面部分と同様に略
均一なスパッタリング作用を受け、不揮発性反応生成物
が湾曲表面22A、23A、24A、25Aに集中して
これらの部分に付着、堆積する虞がなく、ひいては堆積
物に起因するパーティクルの発生を抑制することができ
る。
【0038】図6は本発明の他の実施形態のエッチング
処理装置の要部を中心に示す図である。そこで、本実施
形態のエッチング処理装置の特徴を説明し、図1に示す
エッチング処理装置と同一または相当部分には同一符号
を附してその説明を省略する。本実施形態のエッチング
処理装置は、図6に示すように、主として下部電極3を
昇降可能に構成されている点を除き、図1に示すエッチ
ング処理装置に準じて構成されている(但し、高低周波
電源などは省略してある)。
【0039】即ち、本実施形態では、下部電極3の下面
中心に昇降機構26がロッド26Aを介して連結され、
この昇降機構26により下部電極3を昇降できるように
してある。つまり、下部電極3は、図6に示すように、
昇降機構26により二点鎖線で示す位置と実線で示す位
置との間で昇降動するように構成され、半導体ウエハW
を処理室1内に搬入する時には二点鎖線で示す位置まで
下降し、エッチング処理を施す時には実線で示す位置ま
で上昇して上部電極2との間で所定の隙間を作るように
構成されている。また、下部電極3の下面には円筒状の
ベローズ27の上端が接続され、ベローズ27の下端に
は処理室1底面の円筒状突起1Cが接続され、このベロ
ーズ27により処理室1内の気密を維持すると共に下部
電極3を昇降自在に支持するようにしてある。
【0040】また、下部電極3の周囲にはアルマイト処
理されたアルミニウムにより形成されたリング状封止部
材28が処理室1の壁に取り付けられ、プラズマ処理時
にはリング状封止部材28により半導体ウエハWが存在
する空間を下部空間29から区画し、プラズマPを電極
2、3側に封止するようにしてある。このリング状封止
部材28は下部電極3と処理室1の内周面間の隙間を埋
める幅に形成され、その上面の外周縁部にはリング状封
止部材28と処理室1内周面との隅角部に湾曲表面28
Aを作る突起部が形成されている。また、リング状封止
部材28の内周端上面の高さはフォーカスリング15の
上面と一致する高さに調整されている。更に、このリン
グ状封止部材28には周方向に複数の孔28Cが形成さ
れ、これらの孔28Cからプラズマ処理後のガスを下部
空間29及び排気用ノズル1Aを介して排気するように
してある。尚、リング状封止部材28は石英等の絶縁性
部材により形成したものであっても良い。
【0041】従って、本実施形態によれば、昇降機構2
6により下部電極3を二点鎖線で示す位置から上部電極
2へ近づけて実線位置まで持ち上げ、両電極2、3間に
所定の隙間を作ると共に、リング状封止部材28により
処理室1上部でプラズマ処理空間を形成した後、上部電
極2に高周波電力を印加すると共に下部電極3に低周波
電力を印加して処理空間においてプラズマPを発生させ
ると、このプラズマPにより処理空間の下部電極3上に
配置された半導体ウエハWにエッチング処理を施すこと
ができる。この時、処理空間を囲む固体表面の隅角部、
即ち処理室1天面と内周面間の隅角部、上部電極2外周
面と天面間の隅角部、リング状封止部材28と処理室1
内周面間の隅角部、及びリング状封止部材28とフォー
カスリング16外周面間の隅角部にはプラズマPの外端
形状に即した湾曲表面22A、24A、28Aがそれぞ
れ形成されているため、これらの隅角部において不揮発
性の反応生成物が滞留することがない。また、これらの
隅角部はその他の部分と同程度のスパッタリング作用を
受けるため、これらの隅角部に不揮発性の反応生成物が
集中的に堆積することがなく、パーティクルの発生を抑
制することができ、上記実施形態と同様の作用効果を奏
する。
【0042】尚、本発明は上記各実施形態に何等制限さ
れるものではなく、例えばプラズマ発生手段としては、
上下いずれかの電極にのみ高周波電力を印加するもの、
マイクロ波を用いるもの、あるいは、これらと磁場印加
手段を併用したものであっても本発明を適用することが
でき、また、エッチング処理以外のCVD処理、アッシ
ング処理等のプラズマを用いた処理装置にも適用するこ
とができる。
【0043】
【発明の効果】本発明によれば、処理室内の被処理体以
外の固体表面は、その表面と上記プラズマ間に形成され
たシース厚(または電界強度分布)がいずれにおいても
略均一になる表面形状として形成されているため、プラ
ズマ処理時に発生する不揮発性の反応生成物が処理室内
の固体表面で形成される隅角部に集中的に付着し、堆積
することを防止し、もってパーティクルの発生を抑制で
きるプラズマ処理装置を提供するこを提供することがで
きる。
【図面の簡単な説明】
【図1】本発明のプラズマ処理装置の一実施形態のエッ
チング処理装置を示す断面図である。
【図2】図1に示すエッチング処理装置の特徴を説明す
るために、プラズマのシースを誇張して模式的に表現し
たエッチング処理装置の部分断面図である。
【図3】容器壁面の隅角部が直角に形成された放電容器
を用いてプラズマを発生させた時に隅角部近傍で観察さ
れるプラズマ端を示す説明図である。
【図4】(a)及び(b)はプラズマが中性であること
を説明するための電荷分布の状態を示す説明図及び電荷
分布を示すグラフである。
【図5】図3に示す隅角部に湾曲形状を設定する時に隅
角部の曲率半径を求める説明図である。
【図6】本発明のプラズマ処理装置の他の実施形態のエ
ッチング処理装置を示す断面図である。
【符号の説明】
1 処理室 2 上部電極 3 下部電極 9 高周波電源 12 低周波電源 22 リング状部材 22A 湾曲表面(隅角部表面) 23 リング状部材 23A 湾曲表面(隅角部表面) 24 リング状部材 24A 湾曲表面(隅角部表面) 25 リング状部材 25A 湾曲表面(隅角部表面) 28 リング状封止部材(リング状封止手段) 28A 湾曲表面(隅角部表面)

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 処理室内でプラズマ発生手段によりプラ
    ズマを発生させ、その処理室内に配置された被処理体に
    プラズマ処理を施すプラズマ処理装置において、上記処
    理室内の被処理体以外の固体表面は、その表面と上記プ
    ラズマ間に形成されたシース厚がいずれにおいても略均
    一になる表面形状として形成されていることを特徴とす
    るプラズマ処理装置。
  2. 【請求項2】 処理室内に対向配置された一対の第1、
    第2電極の少なくとも一方に高周波電力を印加してプラ
    ズマを発生させ、上記処理室内の電極に配置された被処
    理体にプラズマ処理を施すプラズマ処理装置において、
    上記処理室内で被処理体以外の固体表面により形成され
    る隅角部とそれ以外の部分は、それぞれの表面と上記プ
    ラズマ間のシース厚がいずれにおいても略均一になる表
    面形状として形成されていることを特徴とするプラズマ
    処理装置。
  3. 【請求項3】 上記被処理体が配置された電極の外周と
    上記処理室内周面との間に上記被処理体側にプラズマを
    閉じ込めるリング状封止手段が設けられていることを特
    徴とする請求項2に記載のプラズマ処理装置。
  4. 【請求項4】 上記処理室内で固体表面により形成され
    る隅角部の表面が他の部分のシース厚と略等しくなる湾
    曲表面として形成されていることを特徴とする請求項2
    または請求項3に記載のプラズマ処理装置。
  5. 【請求項5】 上記湾曲表面はシース厚を一定にする曲
    率半径を有することを特徴とする請求項4に記載のプラ
    ズマ処理装置。
  6. 【請求項6】 上記曲率半径は2cm以上であることを
    特徴とする請求項5に記載のプラズマ処理装置。
JP8156141A 1995-06-18 1996-05-28 プラズマ処理装置 Expired - Fee Related JP2814370B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP8156141A JP2814370B2 (ja) 1995-06-18 1996-05-28 プラズマ処理装置
US08/664,500 US5942075A (en) 1995-06-18 1996-06-17 Plasma processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP17551095 1995-06-18
JP7-175510 1995-06-18
JP8156141A JP2814370B2 (ja) 1995-06-18 1996-05-28 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JPH0969400A true JPH0969400A (ja) 1997-03-11
JP2814370B2 JP2814370B2 (ja) 1998-10-22

Family

ID=26483968

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8156141A Expired - Fee Related JP2814370B2 (ja) 1995-06-18 1996-05-28 プラズマ処理装置

Country Status (2)

Country Link
US (1) US5942075A (ja)
JP (1) JP2814370B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006501609A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置
US7337745B1 (en) 1999-04-06 2008-03-04 Tokyo Electron Limited Electrode, susceptor, plasma processing apparatus and method of making the electrode and the susceptor
JP2009152539A (ja) * 2007-11-30 2009-07-09 National Institute Of Advanced Industrial & Technology 半導体デバイスの連続製造方法及びチャンバー
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置
JP2010135781A (ja) * 2008-11-07 2010-06-17 Tokyo Electron Ltd プラズマ処理装置及びその構成部品
KR20170102278A (ko) * 2014-12-30 2017-09-08 어플라이드 머티어리얼스, 인코포레이티드 고 전도성 프로세스 키트
JP2019054274A (ja) * 2007-04-27 2019-04-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 環状のバッフル

Families Citing this family (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1014266A (ja) 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
US6112697A (en) * 1998-02-19 2000-09-05 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6203661B1 (en) * 1999-12-07 2001-03-20 Trusi Technologies, Llc Brim and gas escape for non-contact wafer holder
JP2001185542A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6562684B1 (en) 2000-08-30 2003-05-13 Micron Technology, Inc. Methods of forming dielectric materials
US6547979B1 (en) * 2000-08-31 2003-04-15 Micron Technology, Inc. Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US20040040663A1 (en) * 2002-08-29 2004-03-04 Ryujiro Udo Plasma processing apparatus
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP3998003B2 (ja) * 2004-04-23 2007-10-24 ソニー株式会社 プラズマエッチング法
AU2005309226B2 (en) * 2004-11-24 2010-06-03 Oerlikon Solar Ag, Truebbach Vacuum processing chamber for very large area substrates
US7851368B2 (en) * 2005-06-28 2010-12-14 Lam Research Corporation Methods and apparatus for igniting a low pressure plasma
US20080083979A1 (en) * 2006-10-10 2008-04-10 Sumitomo Electric Industries, Ltd. Wafer holder and semiconductor manufacturing apparatus equipped with wafer holder
US20090277472A1 (en) * 2008-05-06 2009-11-12 Novellus Systems, Inc. Photoresist Stripping Method and Apparatus
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5203986B2 (ja) * 2009-01-19 2013-06-05 東京エレクトロン株式会社 フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20190132561A (ko) 2012-01-06 2019-11-27 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH034530A (ja) * 1989-06-01 1991-01-10 Matsushita Electric Ind Co Ltd 半導体製造装置
JPH03224625A (ja) * 1990-01-29 1991-10-03 Babcock Hitachi Kk 超微粉合成装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0155566B1 (ko) * 1990-07-20 1998-11-16 이노우에 아끼라 플라즈마 처리장치
KR0120730B1 (ko) * 1994-05-25 1997-10-17 김주용 반도체 웨이퍼의 급속 열처리장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH034530A (ja) * 1989-06-01 1991-01-10 Matsushita Electric Ind Co Ltd 半導体製造装置
JPH03224625A (ja) * 1990-01-29 1991-10-03 Babcock Hitachi Kk 超微粉合成装置

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7337745B1 (en) 1999-04-06 2008-03-04 Tokyo Electron Limited Electrode, susceptor, plasma processing apparatus and method of making the electrode and the susceptor
JP2006501609A (ja) * 2002-09-30 2006-01-12 東京エレクトロン株式会社 プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための方法及び装置
JP2009283975A (ja) * 2003-05-02 2009-12-03 Tokyo Electron Ltd プラズマ処理装置
JP2019054274A (ja) * 2007-04-27 2019-04-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 環状のバッフル
JP2009152539A (ja) * 2007-11-30 2009-07-09 National Institute Of Advanced Industrial & Technology 半導体デバイスの連続製造方法及びチャンバー
JP2010135781A (ja) * 2008-11-07 2010-06-17 Tokyo Electron Ltd プラズマ処理装置及びその構成部品
US9337003B2 (en) 2008-11-07 2016-05-10 Tokyo Electron Limited Plasma processing apparatus and constituent part thereof
KR20170102278A (ko) * 2014-12-30 2017-09-08 어플라이드 머티어리얼스, 인코포레이티드 고 전도성 프로세스 키트
JP2018502458A (ja) * 2014-12-30 2018-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高コンダクタンスのプロセスキット
CN110323120A (zh) * 2014-12-30 2019-10-11 应用材料公司 高传导处理配件
US10763086B2 (en) 2014-12-30 2020-09-01 Applied Materials, Inc. High conductance process kit
CN110323120B (zh) * 2014-12-30 2021-12-21 应用材料公司 高传导处理配件

Also Published As

Publication number Publication date
US5942075A (en) 1999-08-24
JP2814370B2 (ja) 1998-10-22

Similar Documents

Publication Publication Date Title
JP2814370B2 (ja) プラズマ処理装置
US9659756B2 (en) Plasma etching apparatus and plasma cleaning method
US6642149B2 (en) Plasma processing method
US7988814B2 (en) Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
JP3210207B2 (ja) プラズマ処理装置
KR101839414B1 (ko) 플라즈마 처리 장치 및 플라즈마 제어 방법
KR100374993B1 (ko) 이씨알플라즈마발생기및이씨알플라즈마발생기를구비하는이씨알에칭시스템
TWI502619B (zh) 用於電漿處理設備之電極、電漿處理設備、以及使用電漿處理設備產生電漿的方法
JP5491359B2 (ja) プラズマ処理装置およびプラズマ処理方法、ならびにコンピュータ読み取り可能な記憶媒体
JP3660806B2 (ja) 乾式蝕刻装置
US9852922B2 (en) Plasma processing method
JP2007180358A (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP3706027B2 (ja) プラズマ処理方法
JP2016522539A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
JP2007273596A (ja) プラズマ処理用の電極板及びプラズマ処理装置
JPWO2002058125A1 (ja) プラズマ処理装置およびプラズマ処理方法
TWI774308B (zh) 用於高頻處理的蓋堆疊
KR20180124773A (ko) 플라즈마 처리 장치의 세정 방법
KR20010079817A (ko) 플라스마 처리 장치
KR20010042483A (ko) 가스 처리 장치
JP2001308080A (ja) プラズマ処理装置
US20160071700A1 (en) Plasma processing apparatus and cleaning method
JP5640135B2 (ja) プラズマ処理装置
EP1143497A1 (en) Plasma etching apparatus
WO2023228232A1 (ja) 内壁部材の再生方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100814

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130814

Year of fee payment: 15

LAPS Cancellation because of no payment of annual fees