JPH08204123A - 3次元集積回路の製造方法 - Google Patents

3次元集積回路の製造方法

Info

Publication number
JPH08204123A
JPH08204123A JP7244734A JP24473495A JPH08204123A JP H08204123 A JPH08204123 A JP H08204123A JP 7244734 A JP7244734 A JP 7244734A JP 24473495 A JP24473495 A JP 24473495A JP H08204123 A JPH08204123 A JP H08204123A
Authority
JP
Japan
Prior art keywords
base layer
component
integrated circuit
manufacturing
chips
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7244734A
Other languages
English (en)
Inventor
Peter Ramm
ラム ペーター
Reinhold Buchner
ブッフネル ラインホールドゥ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Original Assignee
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV filed Critical Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Publication of JPH08204123A publication Critical patent/JPH08204123A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 歩留まりを向上させて製造コストを下げる3
次元集積回路の製造方法を提供する。 【解決手段】 本発明の方法では、複数の部品基層を含
むシステムの組み立てのために、部品を含まない付加的
なキャリア基層が使用される。プロセス化の完了した第
1の部品基層1は、まず始めに機能識別テストを受け、
このテストによって第1の部品基層の欠陥がないチップ
2が選択される。続いてこの部品基層1は補助基層8と
結合され、裏側から薄くされ、個々のチップ2に分割さ
れる。その後選択された欠陥がないチップ2はキャリア
基層9の上に並べてはりつけられる。補助基層8の除去
の後、第2の部品基層13のチップが第1の部品基層1
と同じ方法で第1の部品基層1のチップ2の上にはりつ
けられる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、高いシステム効果
の達成のもとの3次元集積回路の製造方法に関するもの
である。3次元の集積とは、プレーナ技術により製造さ
れた部品の垂直方向の結合である。3次元マイクロエレ
クトロニクスシステムの利点は、特に、2次元システム
と比べて、同じデザイン設計で到達可能な実装密度とス
イッチング速度がより高いことである。後者は、一方で
は、個々の部品あるいは回路間の経路がより短いことに
より、他方ではパラレルな情報処理の可能性により生じ
る。場所を自由に選択できる高度に集積された垂直方向
の接点による結合技術の実現のために、システムの機能
能力の向上が必要である。
【0002】
【発明が解決しようとする課題】自由に選択可能な垂直
方向の接点による3次元の回路を作るために以下の方法
が知られている。
【0003】Y.Akasaka,Proc.IEEE74(1986)1703 には、
多結晶のシリコンのプロセス化の完了した部品層を分離
し、再結晶化させ、その結果再結晶化した層中で他の部
品を製造することを提案されている。この方法の欠点
は、再結晶化プロセスでの高い熱負荷による下の面中の
部品の性能を劣化させる変質と、全てのシステムのシリ
アルなプロセス化が必須なことである。後者は一方で
は、製造における走行時間が長いことにより、他方では
プロセスがもたらす沈殿の合計による作用低下によるも
のである。両者は互いに分離し合う個々の面の様々な基
層中のプロセス化と比べ、製造コストを著しく上昇させ
る。
【0004】Y.Hayashi 他、Proc.8th Int.Workshop on
Future Device,1990,p.85により、まず始めに互いに分
離した個々の部品を様々な基層中で製造することが知ら
れている。続いて基層は数ミクロンに薄くされ、前側お
よび後側の接点を備え、接着により垂直方向に結合され
る。しかし前側および後側の接点を作るために、標準半
導体(CMOS)にはない特別なプロセスが必要であ
る。MOSに適合性のない材料(例えば金)と基層の裏
側の構造決めの必要がない。
【0005】US 4,939,568には、個々のチ
ップを積み上げキャリア基層の上で1つの堆積チップと
することによる3次元の集積回路の製造方法が開示され
ている。このために、まず始めに、プロセス化の完了し
た集積回路を持つ基層を個々のチップに分割し、それに
よりウェハ面上のプロセス化が終わる。チップはテスト
され、第1の個々のチップは熱と圧縮によりキャリア基
層にはりつけられる。このステップの後、他のチップが
同じ方法で第1のチップの上にはりつけられる。このよ
うにして、他のチップ堆積の製造を他のキャリア基層の
上で始める前に、第1のチップ堆積が行われる。このた
め、ウェハ面上のチップ堆積のさらなるプロセス化はこ
の方法では不可能である。
【0006】上記した方法の欠点は、シリコン技術で使
用できる装置がディスク形の基層、いわゆるウェハの処
理(プロセス化)のみに基づくことから生じる。様々な
基層、特に個々のチップのプロセス化は経験的な実験装
置によってのみ可能であるが、要求される効果を伴う工
業的製造には不向きである。
【0007】US 4,954,875には、個々のウ
ェハの積み上げによる3次元の集積方法が開示されてい
る。ここでは、個々の部品面の結合は特別に形成された
バイアホールにより作られる。
【0008】多数の同じ構成成分、いわゆるチップを含
む基層のつなぎ合わせでは、個々の歩留まりの製品から
の結果として生じる多層システムの歩留まりの問題が生
じる。これにより、複数の部品面を持つシステムの歩留
まりが算定でき、周知の方法であるUS4,954,8
75では歩留まりが大きく減少する。個々の面の歩留ま
りが80%である場合、10の面から成る全システムで
は10%の歩留まりとなる。それによりこのようなシス
テムは不経済となり、この技術の使用はわずかな特別の
使用フィールドに限定される。部品基層の性能はその際
回路と使用される製造プロセスの種類に依存する。例え
ば記憶装置の構成成分の製造で高い効果が達成される
が、一方マイクロプロセッサーのようなロジックの構成
成分では、著しくわずかな効果しか達成されない。特に
このような回路の複数の種類が重なりあうと、これによ
り全体としての効果は非常にわずかな効果を持つ回路の
種類によって決まる。
【0009】本発明の課題は、通常の工業的の標準装置
をウェハの上で使用し、従来の周知の方法に比べて明ら
かに歩留まりを向上させて製造コストを下げることがで
きる3次元集積回路の製造方法を提供することにある。
【0010】
【課題を解決するための手段】前記課題は本発明の請求
項1の方法により解決される。本発明の方法の特別な形
態は従属請求の対象である。
【0011】本発明による方法では、複数の部品基層を
包括するシステムの組み立てのために、部品を含まない
付加的なキャリア基層が使用される。回路と金属被覆層
を包括する完全にプロセス化の完了した第1の部品基層
は、機能識別テストを受け、この機能識別テストによっ
て第1の部品基層の欠陥がない第1群のチップが選択さ
れる。続いてこの第1の部品基層は補助基層と結合さ
れ、裏側から薄くされ、個々のチップに分割される。部
品の近くの第1の部品基層を薄くする代わりに、SOI
基層の場合、酸化物層の下方の基層領域が除去される。
その後、選択された欠陥がない第1群のチップが、例え
ば接着層を介してキャリア基層と結合され、その際に第
1群の個々のチップは規定の回路図に従って並べられ
る。これにより第1群のチップはキャリア基層の上で新
しいチップ面となる。この方法で、第1の部品基層の中
に完璧な部品と回路しか含まないウェハが準備される。
最後に(分割された)補助基層が除去される。
【0012】キャリア基層の上に個々のチップがはりつ
けられたので、つながっている表面はもはや存在しない
(チップ間の溝)。その結果、一定のプロセスステッ
プ、特に写真平版型は、もはや高い効果が期待できな
い。このため、主として平坦化ステップが挿入される
(請求項9)。その後、部品基層の中にテストされ機能
能力があるチップだけを含む部品基層を、市販の製造装
置で更に処理できる。
【0013】平坦化は様々な方法によって実現できる。
その際、最初に、例えばスピン オン グラス(Spi
n−on−Glas)あるいはCVD酸化物のような絶
縁層がはりつけられ、溝を充たす。続いて、エッチバッ
ク、機械的あるいは化学機械的研磨により表面が平らに
される。
【0014】チップ面では実現不可能な他のプロセス
は、平坦化ステップにより問題なく、あらかじめ選択さ
れたチップを持つキャリア基層のところで遂行できる。
【0015】第1の部品基層の完成の後、第2の部品基
層が、例えば同じ方法で第2群の個々のチップがはりつ
けられ、表面が平らにされる(請求項2)。
【0016】続いて例えば、既に個々の基層のプロセス
化の際チップに備えられ、下にある第1の部品基層の金
属被覆層までエッチングされたバイアホールを介して
(請求項10)、上と下の部品基層の金属被覆層の間ご
とに電気的接続部材が作られる。その際、個々のチップ
のはりつけにより場合によっては生じる寸法の逸脱が調
整され、高い調整精度を達成するために、構造決めのた
めのマスクが各々の個々のチップの上で、調整マークに
よって別々に調整される。
【0017】付加的に他の部品基層をはりつける必要が
ある場合(請求項3)、先行して存在する堆積部品(つ
まり、いままではりつけられた部品面の全てを持つもと
もとのキャリア基層)の機能能力がテストされ、補助基
層が備えられ、もともとのキャリア基層が除去され、部
品基層を持つ補助基層がチップに分割される。機能能力
があるチップは、接着層を介して、補助基層を除去し表
面を平らにする新しいキャリア基層と結合される。その
後同じ方法で、他の部品基層が個々のチップにはりつけ
られ、表面は平らにされ、電気的接続部材が作られる。
【0018】はりつけられる部品基層の数はこの方法で
は制限されない。更に個々の部品基層だけでなく、既に
複数の部品基層から構成される堆積部品が、個々のチッ
プにはりつけられる。
【0019】部品基層として、単結晶のシリコン基層、
SOI基層あるいは様々なテクノロジー族の基層、例え
ばIII-V−半導体が適している。キャリア基層として、
例えばシリコン酸化物のような絶縁層を備えることがで
きる単結晶あるいは多結晶のシリコン基層の他に、半導
体プロセスに適合する、例えばクオーツあるいはガラス
の基層のような他の材料が使用可能である。その際の利
点は、キャリア基層と部品基層の熱による膨張係数が適
合している場合、プロセスステップがもたらす温度変化
により機械的な力をわずかにすることである。使用され
る部品基層が、通常アルミあるいはアルミ合金を含む金
属被覆層を既に包括するので、後続のプロセスステップ
は通常、約400℃の最大温度に限定される。更に接着
層を、機械的圧迫の回避のための緩衝層として、あるい
はパッシブ層として(請求項7)使用できる。更に、接
着層は表面を平らにする(請求項8)。ここでは、接着
層として、例えばポリミドあるいは感光性レジストのよ
うな有機的物質が使用される。
【0020】総じて3次元集積回路の製造方法では、周
知で既に使用されている方法ステップしか使用されず、
その結果新しいプロセスを開発しなくて良い。
【0021】本発明による方法は、多層システムの組み
立てにとりわけ適する。本方法ではあらかじめテストさ
れた部品しか使用されず、機能能力がある堆積部品が更
に処理されるので、機能能力があるチップを既に欠陥が
ある堆積部品のうえにはりつけてそれにより価値をなく
すことが回避される。これによりシステム全体の歩留ま
りは上昇し、その結果明らかなコスト減少が達成され
る。
【0022】
【発明の実施の形態】以下に本発明の実施の形態を添付
図面に基づいて詳細に説明する。
【0023】図1に示すように、例えば単結晶のシリコ
ンから成る第1の部品基層1は、決められた回路図に従
って配置され通常同一の複数のチップ2と、部品である
MOSトランジスターのような回路3と、1つあるいは
複数の金属被覆層4とを有している。前記金属被覆層4
は、通常アルミニウム、アルミ合金あるいは銅やタング
ステンのような他の材料から構成され、電気的絶縁およ
び平坦化のためにホウ素または燐を組み込むことのでき
る酸化物層5から囲まれている。その際最上位の金属被
覆層4の上面は、例えば酸化シリコンと窒化シリコンか
ら構成されるパッシブ層6により覆われる。更に、複数
の面の正確なつなぎ合わせのための調整構造が追加され
る(図1では示されていない)。回路3の下方で、第1
の部品基層1は例えば625μmの厚さである。この第
1の部品基層1は多層システムの下の基層である。
【0024】第1の部品基層1の完成後、パッシブ層6
が一定の測定箇所の最上位の金属被覆層4の上で開かれ
る。その後、第1の部品基層1の個々のチップ2が機能
識別テストを受ける。すなわち、機能能力がある部品、
堆積部品および回路と機能能力がない部品、堆積部品お
よび回路を識別するために第1の部品基層1の部品、堆
積部品および回路を機能識別テストする。この機能識別
テストにより欠陥がある(機能能力がない)と識別され
たチップがインクジェット等によって特徴づけられる。
これにより、機能能力があるチップも特徴づけられたこ
とになる。続いて、露出した測定箇所を再び覆うため、
新たにパッシブ層がはりつけられる。
【0025】第1の部品基層1の表面に、ポリミドある
いは感光性レジストのような有機的材料から成る接着層
7が平らにはりつけられる。厚さが通常1−2μmのこ
の接着層は表面を平らにする。接着層7の上に、最終的
に例えばシリコンあるいはクオーツウェハのような補助
基層8がはりつけられる。補助基層8はハンドリング基
層としてさらなるプロセスステップのために使用され、
さらなる処理の際、第1の部品基層1の表面を保護す
る。
【0026】この後、第1の部品基層1はエッチングま
たは研磨により裏側から薄くされ、その結果回路3の下
方の第1の部品基層1の厚さは数ミクロンで、通常は1
−5μmである。その際選択される第1の部品基層1の
残り部分の厚さは含まれる回路の種類に依存する。
【0027】図3に示すように、キャリア基層9は、例
えば単結晶あるいは多結晶のシリコンから構成され、場
合によってはシリコン酸化物のような絶縁層10により
覆われ、回路を含まない。このキャリア基層9の上にお
いて、例えばポリミドあるいは感光性レジストから成る
接着層11が絶縁層10の表面にはりつけられる。
【0028】この後、ハンドリング基層8を持つ第1の
部品基層1は個々のチップ2に分割される。これは、こ
こでは刃物やレーザーによるエッチングプロセスにより
行われる。次に、特徴づけられた欠陥のないチップ2
が、キャリア基層9の上に規定の配置方法で並べてはり
つけっらえる。続いてハンドリング基層8がエッチング
あるいは研磨により除去され、露出した接着層7は通常
酸素プラズマや溶媒の中で除去される。チップ2のはり
つけの後、第1の部品基層1を持つキャリア基層9の表
面は、個々のチップ2の間に非常に低いアスペクト状況
を持つ溝を持つ。
【0029】例えばCVD酸化物からなる酸化物層12
が分離される平坦化ステップによって、この溝は充たさ
れ、平らな表面が作られる。その際、パッシブ層6は、
エッチバックあるいは戻り研磨のためのストップ層とし
て役立つ。はりつけられた第1の部品基層1を持つキャ
リア基層9は、通常のシリコン基層と同様に、標準テク
ノロジー装置によって更に処理される。
【0030】図5に示すように、第2の部品基層13
は、前記第1の部品基層1と同様に、規定の回路図に従
って配置される通常同一の複数のチップ層14と、部品
であるMOSトランジスターのような回路15と、1つ
あるいは複数の金属被覆層16とを有している。この第
2の部品基層13は、基本的に、第1の部品基層1と似
た構造であるが、回路15の通常機能が異なる。更に、
第2の部品基層13は、後になって下にある回路の電気
的接続が行われる箇所に、バイアホール17を備える。
バイアホール17は、回路15を持つ層の下方にとどく
深さである。
【0031】この第2の部品基層13も、前記第1の部
品基層1と同様に機能識別テストを受け、欠陥があるチ
ップが特徴づけられ、前記接着層と前記補助基層(ハン
ドリング基層)が似たような方法ではりつけられる。最
後に第2の部品基層13が、同様にエッチングまたは研
磨により裏側からバイアホール17のところまで薄くさ
れる。ハンドリング基層を持つ第2の部品基層13は、
個々のチップに分割され、特徴づけられ欠陥がないチッ
プ14が準備される。
【0032】図6に示すように、欠陥がないチップ14
が接着層18を備えたチップ2の上に調整されてはりつ
けられる。通常1−2μmの厚さの接着層18はその
際、表面を平らにする。続いてハンドリング基層がエッ
チングあるいは研磨によって平らにされ、露出した接着
層は平らになるよう除去される。次に、例えばCVD酸
化物からなる酸化物層19の分離後、同様に平坦化が行
われ、これにより平らな表面が作られる。
【0033】その後、図7に示すように、最終的に、上
の部品である第2の部品基層13の金属被覆層16と下
の部品である第1の部品基層1の金属被覆層4の間に垂
直方向の電気的接続部材20が作られる。このために、
写真平版ステップにより、上の第2の部品基層13の金
属被覆層16のコンタクトホールと、準備されたバイア
ホール17が下の第1の部品基層1の金属被覆面4まで
通じ、金属分離と構造決めにより、前記コンタクトホー
ルとバイアホール17を介して電気的接続部材20が作
られる。最後に表面でパッシブ層21が分離される。
【0034】第1の部品基層1と第2の部品基層13の
との電気的接続は、いうまでもなく、他の方法でも実現
できる、例えばチップを下の基層にはりつける時、準備
された表側および裏側のコンタクトにより実現可能であ
る(説明:Y.Hayashi 他参照)。
【0035】他の部品基層をはりつける場合、2層から
構成される既に実現されたシステムが機能識別テストを
受け、欠陥のあるチップが特徴づけられる。続いて、表
面を保護するために、パッシブ層が分離される。次に、
図8に示すように、既に述べた方法で、接着層22と補
助基層23がはりつけられる。絶縁層10を含むキャリ
ア基層9と、第1の部品基層1の下の接着層11は、最
終的に例えばエッチングあるいは研磨により裏側から除
去される。
【0036】キャリア基層9は、例えば、接着層11の
エッチングにより除去され、その後新たなキャリア基層
として後続のプロセスステップのために使用される(請
求項4)。
【0037】ここで、補助基層23が2つの部品基層と
一緒に個々のチップ(堆積部品)24に分割され、特徴
づけられた欠陥のないチップが、図9に示すように、場
合によっては絶縁層27と接着層28を備える新しいキ
ャリア基層26の上に調整されてはりつけられる。
【0038】続いて、図10に示すように、ハンドリン
グ基層23を分割して形成したハンドリング基層25が
エッチングあるいは研磨によって平らにされ、接着層2
2が平らに除去される。その後、例えばCVD酸化物か
らなる層29の分離後、同様に平坦化ステップが遂行さ
れ、平らな表面が作られる。これにより、2つの部品基
層を持つ完璧な機能能力があるチップを含む基層(集積
回路)が得られる。
【0039】同様の方法で、他の部品基層が付け加えら
れ、その際機能能力があるシステムだけが更に処理され
る。
【0040】
【発明の効果】本発明によれば、通常の工業的の標準装
置をウェハの上で使用し、従来の周知の方法に比べて明
らかに歩留まりを向上させて製造コストを下げることが
できる3次元集積回路の製造方法が得られる。
【図面の簡単な説明】
【図1】本発明における第1の部品基層を説明するため
の説明図である。
【図2】本発明における接着層と補助基層を持つ第1の
部品基層を説明するための説明図である。
【図3】本発明における接着層を持つキャリア基層を説
明するための説明図である。
【図4】本発明における第1の部品基層をキャリア基層
に接合した状態を説明するための説明図である。
【図5】本発明における第2の部品基層を説明するため
の説明図である。
【図6】本発明におけるキャリア基層の上の第1の部品
基層の上に第2の部品基層を接合した状態を説明するた
めの説明図である。
【図7】図6の第1の部品基層の上に第2の部品基層を
垂直方向に電気的に接続するステップを説明するための
説明図である。
【図8】図7の第2の部品基層の上に補助基層を接合す
るステップを説明するための説明図である。
【図9】図8の第1の部品基層に新たなキャリア基層を
接合するステップを説明するための説明図である。
【図10】図9の第2の部品基層から補助基層を除去す
るステップを説明するための説明図である。
【符号の説明】
1 第1の部品基層 2 チップ 3 回路 4 金属被覆層 5 酸化物層 6 パッシブ層 7 接着層 8 補助基層(ハンドリング基層) 9 キャリア基層 10 絶縁層 11 接着層 12 酸化物層 13 第2の部品基層 14 チップ層 15 回路 16 金属被覆層 17 バイアホール 18 接着層 19 酸化物層 20 電気的接続部材 21 パッシブ層 22 接着層 23 補助基層(ハンドリング基層) 25 ハンドリング基層

Claims (14)

    【特許請求の範囲】
  1. 【請求項1】 相並んだ多くの独立した部品基層あるい
    は回路を持つ1つまたは複数のプロセス化の完了した部
    品(3)を第1の側に有する第1の部品基層(1)を準
    備し、その際に前記部品基層の部品あるいは回路が堆積
    部品を形成する第1のステップと;機能能力がある部
    品、堆積部品および回路と、機能能力がない部品、堆積
    部品および回路とを識別するために前記第1の部品基層
    (1)の部品、堆積部品および回路を機能識別テストす
    る第2のステップと;前記第1の部品基層(1)の前記
    第1の側に第1の補助基層(8)を結合する第3のステ
    ップと;前記第1の側面と相対する側面で前記第1の部
    品基層(1)を薄くしあるいは除去する第4のステップ
    と;前記第1の部品基層と前記第1の補助基層(8)に
    おける機能能力がある部品、堆積部品および回路と機能
    能力がない部品、堆積部品および回路を含む個々をチッ
    プへ分割する第5のステップと;キャリア基層(9)を
    準備する第6のステップと;前記第2のステップにおい
    て機能識別テストにより機能能力がある部品、堆積部品
    堆および回路を含む第1群のチップ(2)をキャリア基
    層(9)の上に並べて調整してはりつける第7のステッ
    プと;前記キャリア基層(9)の上の前記第1群のチッ
    プ(2)から前記補助基層(8)を除去する第8のステ
    ップとを有することを特徴とする3次元集積回路の製造
    方法。
  2. 【請求項2】 相並んだ多くの独立した部品基層あるい
    は回路を持つ1つまたは複数のプロセス化の完了した部
    品(3)を第1の側に有する第1の部品基層(1)を準
    備し、その際に前記部品基層の部品あるいは回路が堆積
    部品を形成する第1のステップと;機能能力がある部
    品、堆積部品および回路と、機能能力がない部品、堆積
    部品および回路とを識別するために前記第1の部品基層
    (1)の部品、堆積部品および回路を機能識別テストす
    る第2のステップと;前記第1の部品基層(1)の前記
    第1の側に第1の補助基層(8)を結合する第3のステ
    ップと;前記第1の側面と相対する側面で前記第1の部
    品基層(1)を薄くしあるいは除去する第4のステップ
    と;前記第1の部品基層と前記第1の補助基層(8)を
    機能能力がある部品、堆積部品および回路と機能能力が
    ない部品、堆積部品および回路を含む個々をチップへ分
    割する第5のステップと;キャリア基層(9)を準備す
    る第6のステップと;前記第2のステップにおいて機能
    識別テストにより機能能力がある部品、堆積部品堆およ
    び回路を含む第1群のチップ(2)をキャリア基層
    (9)の上に並べて調整してはりつける第7のステップ
    と;前記キャリア基層(9)の上の前記第1群のチップ
    (2)から前記第1の補助基層(8)を除去する第8の
    ステップと;相並んだ多くの独立した部品基層あるいは
    回路を持つ1つあるいは複数のプロセス化の完了した部
    品(15)を第2の側に有する第2の部品基層(13)
    を準備し、その際に複数の前記部品基層の部品あるいは
    回路は堆積部品を形成する第9のステップと;前記第2
    の部品基層(13)において機能能力がある部品、堆積
    部品および回路と機能能力がない部品、堆積部品および
    回路とを識別するために前記第2の部品基層(13)の
    部品、堆積部品および回路を機能識別テストする第10
    のステップと;前記第2の部品基層(13)の前記第2
    の側に第2の補助基層を結合する第11のステップと;
    前記第2の側面と相対する側面で前記第2の部品基層
    (1)を薄くしあるいは除去する第11のステップと;
    前記第2の部品基層と前記第2の補助基層における機能
    能力がある部品、堆積部品および回路と機能能力がない
    部品、堆積部品および回路を含む個々をチップへ分割す
    る第12のステップと;前記第10のステップにおいて
    機能識別テストにより機能能力がある部品、堆積部品お
    よび回路を含む第2群のチップをキャリア基層(9)の
    上の前記第1群のチップの上に並べて調整してはりつけ
    る第13のステップと;前記第2群のチップ(2)から
    前記第2の補助基層を除去する第14のステップと;前
    記キャリア基層の上の前記第1群のチップにおける部
    品、堆積部品あるいは回路と、これらの第1群のチップ
    の上の前記第2群のチップにおける部品、堆積部品ある
    いは回路の間に電気的接続部材を作る第15のステップ
    とを有することを特徴とする3次元集積回路の製造方
    法。
  3. 【請求項3】 請求項1または2記載の3次元集積回路
    の製造方法において、2つ以上の部品層を持つ3次元集
    積回路の組み立てのために、前記方法を何回も連続して
    繰り返し、その際に各々の繰り返される方法で前記第1
    の部品基層として、先行する方法によって準備されたキ
    ャリア基層が使用されることを特徴とする3次元集積回
    路の製造方法。
  4. 【請求項4】 請求項3に記載の3次元集積回路の製造
    方法において、同じ前記キャリア基層を何回も使用する
    ことを特徴とする3次元集積回路の製造方法。
  5. 【請求項5】 請求項1から4のいずれかに記載の3次
    元集積回路の製造方法において、前記補助基層が接着層
    を介して前記第1の部品基層または前記第2の部品基層
    と結合されることを特徴とする3次元集積回路の製造方
    法。
  6. 【請求項6】 請求項1から5のいずれかに記載の3次
    元集積回路の製造方法において、前記チップが接着層に
    よって前記キャリア基層あるいは前記キャリア基層の部
    品基層にはりつけられることを特徴とする3次元集積回
    路の製造方法。
  7. 【請求項7】 請求項5または6に記載の3次元集積回
    路の製造方法において、前記接着層としてパッシブな特
    性を持つ接着層が使用されることを特徴とする3次元集
    積回路の製造方法。
  8. 【請求項8】 請求項5から7のいずれかに記載の3次
    元集積回路の製造方法において、前記接着層として表面
    を平らにする接着層が使用されることを特徴とする3次
    元集積回路の製造方法。
  9. 【請求項9】 請求項1から8のいずれかに記載の3次
    元集積回路の製造方法において、個々の前記チップの調
    整されたはりつけ後、これらの間に生じる溝が充填され
    て表面が平らにされることを特徴とする3次元集積回路
    の製造方法。
  10. 【請求項10】 請求項2から9のいずれかに記載の3
    次元集積回路の製造方法において、前記キャリア基層の
    上の前記第1群のチップにおける部品、堆積部品あるい
    は回路と、これらの第1群のチップの上の前記第2群の
    チップにおける部品、堆積部品あるいは回路の間に電気
    的接続部材をコンタクトホールおよびバイアホール(1
    7)を介して作ることを特徴とする3次元集積回路の製
    造方法。
  11. 【請求項11】 請求項1から10のいずれかに記載の
    3次元集積回路の製造方法において、前記第1の部品基
    層または第2の部品基層がエッチングまたは研磨により
    薄くされることを特徴とする3次元集積回路の製造方
    法。
  12. 【請求項12】 請求項1から11のいずれかに記載の
    3次元集積回路の製造方法において、前記第1の補助基
    層または前記第2の補助基層の除去が、接着層のエッチ
    ングにより行われることを特徴とする3次元集積回路の
    製造方法。
  13. 【請求項13】 請求項1から12のいずれかに記載の
    3次元集積回路の製造方法において、戦記キャリア基層
    または補助基層が半導体材料のクオーツあるいはガラス
    から構成されていることを特徴とする3次元集積回路の
    製造方法。
  14. 【請求項14】 請求項1から13のいずれかに記載の
    3次元集積回路の製造方法において、前記第1の部品基
    層または第2の部品基層がSOI基層から構成されてい
    ることを特徴とする3次元集積回路の製造方法。
JP7244734A 1994-09-22 1995-09-22 3次元集積回路の製造方法 Pending JPH08204123A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE4433833A DE4433833A1 (de) 1994-09-22 1994-09-22 Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung unter Erreichung hoher Systemausbeuten
DE4433833.3 1994-09-22

Publications (1)

Publication Number Publication Date
JPH08204123A true JPH08204123A (ja) 1996-08-09

Family

ID=6528893

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7244734A Pending JPH08204123A (ja) 1994-09-22 1995-09-22 3次元集積回路の製造方法

Country Status (4)

Country Link
US (1) US5877034A (ja)
EP (1) EP0703619B1 (ja)
JP (1) JPH08204123A (ja)
DE (2) DE4433833A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003524886A (ja) * 1999-10-01 2003-08-19 ジプトロニクス・インコーポレイテッド 3次元デバイスの集積化方法および集積デバイス
JP2003528466A (ja) * 2000-03-22 2003-09-24 ジプトロニクス・インコーポレイテッド 三次元デバイスの一体化方法および一体化されたデバイス
US7195935B2 (en) 2003-10-17 2007-03-27 Seiko Epson Corporation Selective packaging of tested semiconductor devices
CN1309077C (zh) * 2001-11-05 2007-04-04 佐伊科比株式会社 使用低介电常数材料膜的半导体器件及其制造方法
JP2007273782A (ja) * 2006-03-31 2007-10-18 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPWO2010098151A1 (ja) * 2009-02-24 2012-08-30 日本電気株式会社 半導体装置およびその製造方法
JP2014090186A (ja) * 2013-12-04 2014-05-15 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
DE19516487C1 (de) * 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration mikroelektronischer Systeme
DE19543540C1 (de) * 1995-11-22 1996-11-21 Siemens Ag Vertikal integriertes Halbleiterbauelement mit zwei miteinander verbundenen Substraten und Herstellungsverfahren dafür
US6342434B1 (en) 1995-12-04 2002-01-29 Hitachi, Ltd. Methods of processing semiconductor wafer, and producing IC card, and carrier
US6027958A (en) * 1996-07-11 2000-02-22 Kopin Corporation Transferred flexible integrated circuit
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5998291A (en) * 1997-04-07 1999-12-07 Raytheon Company Attachment method for assembly of high density multiple interconnect structures
DE19746641B4 (de) * 1997-09-19 2006-02-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verdrahtungsverfahren für Halbleiter-Bauelemente zur Verhinderung von Produktpiraterie und Produktmanipulation und Verwendung des Halbleiter-Bauelements in einer Chipkarte
DE19813239C1 (de) * 1998-03-26 1999-12-23 Fraunhofer Ges Forschung Verdrahtungsverfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur und vertikale integrierte Schaltungsstruktur
DE19853703A1 (de) 1998-11-20 2000-05-25 Giesecke & Devrient Gmbh Verfahren zur Herstellung eines beidseitig prozessierten integrierten Schaltkreises
DE19856573C1 (de) 1998-12-08 2000-05-18 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration von aktiven Schaltungsebenen und unter Verwendung desselben erzeugte vertikale integrierte Schaltung
EP1041624A1 (en) * 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
DE19924935C1 (de) * 1999-05-31 2000-11-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von dreidimensionalen Schaltungen
US6882045B2 (en) * 1999-10-28 2005-04-19 Thomas J. Massingill Multi-chip module and method for forming and method for deplating defective capacitors
DE19954941C2 (de) * 1999-11-16 2003-11-06 Fraunhofer Ges Forschung Verfahren zum Integrieren eines Chips innerhalb einer Leiterplatte
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6743697B2 (en) * 2000-06-30 2004-06-01 Intel Corporation Thin silicon circuits and method for making the same
US6627998B1 (en) * 2000-07-27 2003-09-30 International Business Machines Corporation Wafer scale thin film package
KR100537552B1 (ko) * 2000-07-31 2005-12-16 매그나칩 반도체 유한회사 반도체 소자 및 그의 제조 방법
US6563133B1 (en) * 2000-08-09 2003-05-13 Ziptronix, Inc. Method of epitaxial-like wafer bonding at low temperature and bonded structure
US6774010B2 (en) 2001-01-25 2004-08-10 International Business Machines Corporation Transferable device-containing layer for silicon-on-insulator applications
WO2003041174A1 (fr) 2001-11-05 2003-05-15 Mitsumasa Koyanagi Capteur d'images a semi-conducteur et procede de fabrication associe
DE10200399B4 (de) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Erzeugung einer dreidimensional integrierten Halbleitervorrichtung und dreidimensional integrierte Halbleitervorrichtung
US7402897B2 (en) 2002-08-08 2008-07-22 Elm Technology Corporation Vertical system integration
US20040124538A1 (en) * 2002-12-31 2004-07-01 Rafael Reif Multi-layer integrated semiconductor structure
WO2004061961A1 (en) * 2002-12-31 2004-07-22 Massachusetts Institute Of Technology Multi-layer integrated semiconductor structure having an electrical shielding portion
US7064055B2 (en) * 2002-12-31 2006-06-20 Massachusetts Institute Of Technology Method of forming a multi-layer semiconductor structure having a seamless bonding interface
JP4063082B2 (ja) * 2003-01-10 2008-03-19 日本電気株式会社 フレキシブル電子デバイスとその製造方法
TWI328837B (en) * 2003-02-28 2010-08-11 Semiconductor Energy Lab Semiconductor device and method of manufacturing the same
KR100497111B1 (ko) 2003-03-25 2005-06-28 삼성전자주식회사 웨이퍼 레벨 칩 스케일 패키지, 그를 적층한 적층 패키지및 그 제조 방법
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
DE10323394B4 (de) * 2003-05-20 2006-09-28 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Erzeugen einer elektrischen Kontaktierung zwischen zwei Halbleiterstücken und Verfahren zum Herstellen einer Anordnung von Halbleiterstücken
DE102004056970B4 (de) * 2004-11-25 2008-07-03 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zum Erzeugen einer elektrischen Kontaktierung zwischen zwei Halbleiterstücken durch ein mechanisches Element
US8153511B2 (en) * 2005-05-30 2012-04-10 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
AT503191B1 (de) 2006-02-02 2008-07-15 Austria Tech & System Tech Leiterplattenelement mit wenigstens einem eingebetteten bauelement sowie verfahren zum einbetten zumindest eines bauelements in einem leiterplattenelement
US7408798B2 (en) * 2006-03-31 2008-08-05 International Business Machines Corporation 3-dimensional integrated circuit architecture, structure and method for fabrication thereof
US7684224B2 (en) * 2006-03-31 2010-03-23 International Business Machines Corporation Structure comprising 3-dimensional integrated circuit architecture, circuit structure, and instructions for fabrication thereof
US20070279053A1 (en) * 2006-05-12 2007-12-06 Taylor William P Integrated current sensor
US7880278B2 (en) 2006-05-16 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having stress tuning layer
US7851333B2 (en) * 2007-03-15 2010-12-14 Infineon Technologies Ag Apparatus comprising a device and method for producing it
US7615480B2 (en) * 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
JP2009076879A (ja) 2007-08-24 2009-04-09 Semiconductor Energy Lab Co Ltd 半導体装置
US8232598B2 (en) * 2007-09-20 2012-07-31 Semiconductor Energy Laboratory Co., Ltd. Display device and method for manufacturing the same
US8044464B2 (en) 2007-09-21 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7982250B2 (en) * 2007-09-21 2011-07-19 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
DE102011013228B4 (de) * 2011-03-07 2014-05-28 Austriamicrosystems Ag Verfahren zur Herstellung eines Halbleiterbauelements für 3D-Integration
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
DE102012200258A1 (de) 2012-01-10 2013-07-11 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur herstellung eines chips
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US12016181B2 (en) 2015-10-24 2024-06-18 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9748106B2 (en) * 2016-01-21 2017-08-29 Micron Technology, Inc. Method for fabricating semiconductor package
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR900008647B1 (ko) * 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 3차원 집적회로와 그의 제조방법
US4954875A (en) * 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US5192716A (en) * 1989-01-25 1993-03-09 Polylithics, Inc. Method of making a extended integration semiconductor structure
US5104820A (en) * 1989-07-07 1992-04-14 Irvine Sensors Corporation Method of fabricating electronic circuitry unit containing stacked IC layers having lead rerouting
JPH0344067A (ja) * 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5376561A (en) * 1990-12-31 1994-12-27 Kopin Corporation High density electronic circuit modules
US5256562A (en) * 1990-12-31 1993-10-26 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5202754A (en) * 1991-09-13 1993-04-13 International Business Machines Corporation Three-dimensional multichip packages and methods of fabrication
JP3526058B2 (ja) * 1992-08-19 2004-05-10 セイコーインスツルメンツ株式会社 光弁用半導体装置
US5489538A (en) * 1992-08-21 1996-02-06 Lsi Logic Corporation Method of die burn-in
US5324687A (en) * 1992-10-16 1994-06-28 General Electric Company Method for thinning of integrated circuit chips for lightweight packaged electronic systems
US5455202A (en) * 1993-01-19 1995-10-03 Hughes Aircraft Company Method of making a microelectric device using an alternate substrate
US5591678A (en) * 1993-01-19 1997-01-07 He Holdings, Inc. Process of manufacturing a microelectric device using a removable support substrate and etch-stop
DE4314913C1 (de) * 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kontaktstrukturierung für vertikale Kontaktierung mit weiteren Halbleiterbauelementen

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003524886A (ja) * 1999-10-01 2003-08-19 ジプトロニクス・インコーポレイテッド 3次元デバイスの集積化方法および集積デバイス
US9431368B2 (en) 1999-10-01 2016-08-30 Ziptronix, Inc. Three dimensional device integration method and integrated device
US9564414B2 (en) 1999-10-01 2017-02-07 Ziptronix, Inc. Three dimensional device integration method and integrated device
US10366962B2 (en) 1999-10-01 2019-07-30 Invensas Bonding Technologies, Inc. Three dimensional device integration method and integrated device
JP2003528466A (ja) * 2000-03-22 2003-09-24 ジプトロニクス・インコーポレイテッド 三次元デバイスの一体化方法および一体化されたデバイス
CN1309077C (zh) * 2001-11-05 2007-04-04 佐伊科比株式会社 使用低介电常数材料膜的半导体器件及其制造方法
US7195935B2 (en) 2003-10-17 2007-03-27 Seiko Epson Corporation Selective packaging of tested semiconductor devices
JP2007273782A (ja) * 2006-03-31 2007-10-18 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4659660B2 (ja) * 2006-03-31 2011-03-30 Okiセミコンダクタ株式会社 半導体装置の製造方法
JPWO2010098151A1 (ja) * 2009-02-24 2012-08-30 日本電気株式会社 半導体装置およびその製造方法
JP5617835B2 (ja) * 2009-02-24 2014-11-05 日本電気株式会社 半導体装置およびその製造方法
JP2014090186A (ja) * 2013-12-04 2014-05-15 Semiconductor Energy Lab Co Ltd 半導体装置の作製方法

Also Published As

Publication number Publication date
DE59507172D1 (de) 1999-12-09
EP0703619B1 (de) 1999-11-03
US5877034A (en) 1999-03-02
EP0703619A1 (de) 1996-03-27
DE4433833A1 (de) 1996-03-28

Similar Documents

Publication Publication Date Title
JPH08204123A (ja) 3次元集積回路の製造方法
JP3986575B2 (ja) 3次元集積回路の製造方法
US11158620B2 (en) Wafer bonding in fabrication of 3-dimensional NOR memory circuits
US20200035641A1 (en) Post cmp processing for hybrid bonding
US6930382B2 (en) Semiconductor device and method of manufacturing the same
JP3229208B2 (ja) 集積回路チップのエッジを正確に画定する方法
JP3904228B2 (ja) 三次元回路装置の製造方法
US5902118A (en) Method for production of a three-dimensional circuit arrangement
US5766984A (en) Method of making a vertical integrated circuit
JP5474002B2 (ja) マルチチップ・ウェハレベル・パッケージを形成する方法
US8222121B2 (en) Fiducial scheme adapted for stacked integrated circuits
JP5246831B2 (ja) 電子デバイス及びそれを形成する方法
JP3694021B2 (ja) 半導体デバイスの製造方法
JP2001237370A (ja) 多層3次元高密度半導体素子及び形成方法
US20080277801A1 (en) Semiconductor component and production method
JPH10223636A (ja) 半導体集積回路装置の製造方法
CN107644841A (zh) 用于三维存储器的晶圆三维集成引线工艺及其结构
KR20100019908A (ko) 3차원 ic 집적화 시 두께 및 하부 층 구조에 무관한 웨이퍼 얼라인먼트 방법
CN1063580C (zh) 制造具有多层互连的半导体器件的方法
JPH0541478A (ja) 半導体装置およびその製造方法
JP2001189424A (ja) 半導体装置およびその製造方法
US11488931B2 (en) Encapsulated fan-in semiconductor package with heat spreader and method of manufacturing the same
US11876078B2 (en) Through-silicon via interconnection structure and methods for fabricating same
US7833896B2 (en) Aluminum cap for reducing scratch and wire-bond bridging of bond pads
CN116759335A (zh) 键合装置、键合装置的形成方法及键合方法