JPH0777218B2 - Method for forming metal layer and insulating layer on the same flat surface - Google Patents

Method for forming metal layer and insulating layer on the same flat surface

Info

Publication number
JPH0777218B2
JPH0777218B2 JP61214224A JP21422486A JPH0777218B2 JP H0777218 B2 JPH0777218 B2 JP H0777218B2 JP 61214224 A JP61214224 A JP 61214224A JP 21422486 A JP21422486 A JP 21422486A JP H0777218 B2 JPH0777218 B2 JP H0777218B2
Authority
JP
Japan
Prior art keywords
metal
layer
insulating layer
flat surface
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP61214224A
Other languages
Japanese (ja)
Other versions
JPS62102543A (en
Inventor
クラウス・デイートリツチ・ベイヤー
ウイリアム・レスリー・ガスリー
スタンレー・リチヤード・マーカレウイツクズ
エリツク・メンデル
ウイリアム・ジヨン・パトリツク
キヤサリーン・アリス・ペリー
ウイリアム・アロン・プリスキン
ヤコブ・ライズマン
ポール・マーチン・シヤイブル
チヤールズ・ランバート・スタンドレイ
Original Assignee
インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション filed Critical インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション
Publication of JPS62102543A publication Critical patent/JPS62102543A/en
Publication of JPH0777218B2 publication Critical patent/JPH0777218B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は高性能VLSI半導体チツプの製造に関し、さらに
具体的には、改善された研摩スラリを用いる化学機械的
研摩技術により基板上に同平坦面の金属/絶縁体膜を作
るための方法に関するものである。上記方法は平坦化さ
れたマルチレベル金属半導体構造の製造に広い用途を見
出すことができる。
Description: FIELD OF THE INVENTION The present invention relates to the manufacture of high performance VLSI semiconductor chips, and more specifically, to the same planarization on substrates by chemical mechanical polishing techniques using improved polishing slurries. The present invention relates to a method for making a surface metal / insulator film. The method may find wide application in the fabrication of planarized multilevel metal semiconductor structures.

〔従来技術及び発明が解決しようとする問題点〕[Problems to be Solved by Prior Art and Invention]

半導体チツプは接点が配線金属線条のパターンにより相
互に接続されたデバイスの配列から成る。
A semiconductor chip consists of an array of devices whose contacts are interconnected by a pattern of wiring metal strips.

VLSIチツプでは、これらの金属パターンを多層化し、絶
縁材料の層により多層化する。それぞれの金属配線パタ
ーン間の相互接続は上記絶縁材料の層を貫いてエツチン
グした穴(すなわちバイヤホール)により行なう。典型
的なチツプ設計は1つまたは2つの配線レベルから成
り、最新の技術では3つの配線レベルである。回路のコ
ストや性能に関して製造工程で常に要求されているの
は、補足的な配線レベルによつて付加的な処理工程が必
要になつても、その回路に競争力があるということであ
る。しかし、今日広く用いられているとは言え、バイヤ
ホールを用いる技術は多くの制限と欠点を有し、第2図
から明らかに理解されるように、金属層の数が増加する
に従つて配線は増々難しくなる。
In a VLSI chip, these metal patterns are multi-layered, and the layers of insulating material are multi-layered. Interconnections between the respective metal wiring patterns are made by etching holes (i.e., via holes) through the layers of insulating material. A typical chip design consists of one or two wiring levels, with modern technology three wiring levels. A constant requirement in the manufacturing process for the cost and performance of a circuit is that the circuit is competitive, even if additional wiring steps require additional processing steps. However, although widely used today, the technique of using via holes has many limitations and drawbacks, and as can be clearly seen from FIG. Becomes more difficult.

第2図に示す半導体構造10は上記従来技術の典型的な一
例である。それは二酸化シリコン(SiO2)のパターン化
された第一の絶縁層12をその上に有する所定の導電形の
シリコン基板11から成る。第1レベルのメタライズをバ
イヤホール14を介して基板の領域15と電気的に接触する
金属ランド13により表す。それは、たとえばオーミツク
コンタクトとして、バイポーラ・トランジスタ(図示せ
ず)のエミツタ領域と接触する。
The semiconductor structure 10 shown in FIG. 2 is a typical example of the above prior art. It comprises a silicon substrate 11 of a given conductivity type having thereon a patterned first insulating layer 12 of silicon dioxide (SiO 2 ). The first level metallization is represented by metal lands 13 in electrical contact with regions 15 of the substrate through via holes 14. It contacts the emitter region of a bipolar transistor (not shown), for example as an ohmic contact.

金属ランド16により表される第2レベルのメタライズは
第2の絶縁層18のバイヤホール17を介して金属ランド13
と電気的に接触する。この構造を第3の絶縁層19でハツ
シベートする。
The second level metallization represented by the metal lands 16 is through the via holes 17 in the second insulating layer 18 to the metal lands 13.
Make electrical contact with. This structure is hashed with the third insulating layer 19.

第2図に示す構造は一定の割合で描かれていないが、標
準的工程から生じる平坦からはほど遠い非常に不規則な
表面についての概念を知す。
The structure shown in FIG. 2 is not drawn to scale but knows the concept of highly irregular surfaces far from the flatness that results from standard processes.

そのような構造で知られている問題点は、第1に間の絶
縁層が薄くなることに起因した第1および第2レベルの
メタライズ間の位置Aにおける電位短絡であり、第2の
位置Bにおける金属層が薄くなることに起因した位置B
での電息開放の問題(いわゆるネツキング効果)であ
る。これらの問題はこの産業で要求されている高水準の
信頼性にとつては受け入れ難いものである。したがつ
て、現在真剣に必要とされているのは、そのような不規
則な表面を平坦化するという差し追つた問題を解決する
ためバイヤホール技術を改善することである。
A known problem with such a structure is a potential short circuit at position A between the first and second level metallization due to the thinning of the first insulating layer and the second position B. Position B due to the thin metal layer at
It is a problem of releasing the breath in the so-called netking effect. These problems are unacceptable for the high level of reliability demanded by the industry. Therefore, there is a serious need now to improve the via hole technology to solve the immediate problem of planarizing such irregular surfaces.

最新の平坦化技術の典型的な一例をヨーロツパ特許出願
番号80302457.9に見出すことができる。この参照文献に
よれば、半導体構造の表面におけるどのような種類の突
起も次の工程により除去することができる。すなわち、
上記表面にほぼ平坦な表面を有するフオトレジストの層
を形成し、次にフオトレジストと上記突起を形成する材
料の両方を同じ速度でエツチングする反応ガスを用いて
この構造の上部表面を乾式エツチングする。除去される
材料が燐ケイ酸塩ガラス(PSG)のときは、反応ガスは
弗素化合物と酸素の混合物であり、材料がアルミニウム
のときに、反応ガスは塩素をベースとした化合物と水素
または酸素の混合物である。それぞれの材料に対して反
応ガスを適切に選択しなければならない。
A typical example of the latest planarization technology can be found in European patent application number 80302457.9. According to this reference, any kind of protrusion on the surface of the semiconductor structure can be removed by the next step. That is,
Dry etching the upper surface of the structure with a reaction gas that forms a layer of photoresist having a substantially flat surface on the surface and then etches both the photoresist and the material forming the protrusions at the same rate. . When the material to be removed is phosphosilicate glass (PSG), the reaction gas is a mixture of fluorine compound and oxygen, and when the material is aluminum, the reaction gas is chlorine-based compound and hydrogen or oxygen. It is a mixture. The reaction gas must be appropriately selected for each material.

この工程は以下に挙げる幾つかの重大な欠点を有する。This process has some serious drawbacks:

(1) 第2レベル(および次のレベルの)メタライズ
のみが平坦化されるので、第2レベルの金属ランドに対
するネツキングの電位危険が依然として存続する(ヨー
ロッパ特許出願番号80302457.9の第5図参照)。
(1) Since only the second level (and the next level) metallization is planarized, the netting potential risk for the second level metal land still persists (see Figure 5 of European Patent Application No. 80302457.9).

(2) 第2の絶縁層は第1レベルの金属ランドが第1
の絶縁層の上にある位置では非常に薄い。このことはそ
れぞれのレベルにおける金属線間の短絡および望ましく
ない寄生キヤパシタンスおよび結合をも引起す可能性が
ある(ヨーロッパ特許出願番号80302457.9の第5図参
照)。
(2) In the second insulating layer, the first-level metal land is the first
Very thin at the location above the insulating layer. This can also cause shorts between metal lines at each level and unwanted parasitic capacitance and coupling (see Figure 5 of European Patent Application No. 80302457.9).

(3) 工程を終らせるためのエツチング停止障壁が元
々備わっておらず、さらにウエハ内およびウエハ間にエ
ツチング速度の変動があるので、エツチング・バツク動
作を非常に正確に制御しなければならない。危険は第1
レベルのメタライズの上部を露出することである(ヨー
ロッパ特許出願番号80302457.9の第5図参照)。
(3) Since the etching stop barrier for finishing the process is not originally provided and the etching speed varies within the wafer and between the wafers, the etching / backing operation must be controlled very accurately. Danger is first
To expose the top of the level metallization (see Figure 5 of European Patent Application No. 80302457.9).

(4) 上記エツチング停止障壁がないので、反応ガス
の性質を変えて、アルミニウムの乾式エツチングを2段
階で行なう(第12および第13図参照)。
(4) Since there is no etching stopping barrier, the dry etching of aluminum is performed in two steps by changing the property of the reaction gas (see FIGS. 12 and 13).

さらに一般には、レジスト平坦化媒体を有する金属のプ
ラズマ・エツチングまたは反応性イオン・エツチング
(RIE)は半導体デバイスを平坦化するための好ましい
方法に見えるが、それらの技術に固有な限界を有する。
第1に、これらの技術は全ての金属に用いることはでき
ず、揮発性反応生成物を形成する金属にのみ用いること
ができる。次に、アルミニウムに関する限り、この金属
の表面における薄いAl2O3層の存在により工程は複雑に
なる。このAl2O3層を除去するため予期不能な開始時期
が必要とされ、さらにアルミニウム層自体の急速で不均
一な除去がそれに続き、そのため制御するのが難しい工
程になつていることが報告されていた。最後に、RIE工
程は複雑で費用がかかる。さらに、レジストの使用は汚
染源にもなり得る。
More generally, plasma etching or reactive ion etching (RIE) of metals with resist planarization media appears to be the preferred method for planarizing semiconductor devices, but has limitations inherent in those techniques.
First, these techniques cannot be used for all metals, but only for those metals that form volatile reaction products. Then, as far as aluminum is concerned, the process is complicated by the presence of a thin Al 2 O 3 layer on the surface of this metal. It was reported that an unpredictable onset time was required to remove this Al 2 O 3 layer, followed by rapid and non-uniform removal of the aluminum layer itself, making it a difficult process to control. Was there. Finally, the RIE process is complicated and expensive. Moreover, the use of resist can be a source of contamination.

金属および絶縁体を平坦化するため化学機械的研摩工程
を用いることについてはこれまで全く提案されていなか
つた。機械的研摩(すなわち摩耗研摩)の使用が試験目
的のための第2レベルのメタライズにおけるアルミニウ
ム・ランドの急速除去に対するC.H.スクリブナ(Scrivn
er)により書かれた2つの論文に最近報告された。
The use of chemical mechanical polishing processes to planarize metals and insulators has never been proposed. CH scribner (Scrivn) for rapid removal of aluminum lands in a second level metallization for testing purposes using mechanical polishing (ie wear polishing)
er) recently published two papers.

IBMテクニカル・デイスクロージヤ・ブルテン、Vol.2
0、No.11A、P.4430−4431、1978年4月に発表された第
1の論文では、試験用のチツプの特別な設計は研究室で
の容易な診断に向いていると記載されている。記載され
たこの試験用チツプを使うには、人はバイヤホールを分
離するため第2レベルにおける金属を除去する能力を持
たねばならない。これはウエハ全体を並行研摩すること
により摩耗的に達成される。金属は調査のためバイヤホ
ール内に元のまま残される。研摩スラリの組成は明らか
にされていないが、水をベースとしたシリカまたはアル
ミナ・スラリのような標準的研摩スラリを用いることが
できる。
IBM Technical Disclosure Bulletin, Vol.2
0, No. 11A, P.4430-4431, the first paper published in April 1978 stated that the special design of the chip for testing was suitable for easy diagnosis in the laboratory. There is. To use this described test chip, one must have the ability to remove metal at the second level to isolate the via holes. This is accomplished wear-wise by parallel polishing the entire wafer. The metal is left untouched in the via hole for investigation. The composition of the polishing slurry has not been defined, but standard polishing slurries such as water-based silica or alumina slurries can be used.

さらに、1つの研摩スラリの使用に関する情報をIBMテ
クニカル・デイスクロージヤ・ブルテンVol.24、No.4、
1981年、P.2138に発表された第2の論文に見出すことが
できる。後者によれば、試験用チツプまたはそれを含む
1枚のウエハを金属スタツド(直径2.5cm)上に載せ、
次にそれをチツプの表面を研摩する市販の並行研摩装置
の中に挿入する。この論文は前に引用した技術の欠点を
はつきりと指摘し、具体的には、研摩工程がウエハにと
つて破壊的であると述べている。また、ウエハのわずか
な面積のみが関係するときは、論文はチツプ上の限定さ
れた部分における第2レベルの金属を手で除去するため
アルミナ粉末のスラリに浸した鉛筆形の消しゴムの使用
を提案している。
In addition, information on the use of one abrasive slurry can be found in IBM Technical Disclosure Bulletin Vol. 24, No. 4,
It can be found in the second paper published in P.2138, 1981. According to the latter, a test chip or a wafer containing it is placed on a metal stud (2.5 cm diameter),
It is then inserted into a commercially available parallel polisher that polishes the surface of the chip. This paper points out the drawbacks of the previously cited technique, specifically that the polishing process is destructive for the wafer. Also, when only a small area of the wafer is concerned, the paper proposes to use a pencil-shaped eraser dipped in a slurry of alumina powder to manually remove the second level metal in a limited area on the chip. is doing.

アルミナ・スラリを有する上記機械的研摩技術を多層化
された金属構造の研摩に当業者が適用するのを妨げる幾
つかの理由がある。第1に、アルミナは研摩剤であると
考えられる。ラツピングに用いられるとはいえ、シリカ
・スラリと比べて結晶損傷傾向が大きいため、アルミナ
はシリコン基板の最終的化学機械的研摩のためには用い
られない。しかし、T.フナツ(Funatsu)への米国特許
第437567号はSi3N4エツチング停止層に対してポリシリ
コン充填物の選択的な化学機械的除去を行なうためアル
カリ添加剤を有するアルミナ・スラリを用いるポリシリ
コン分離平坦化工程について記載している。しかし、能
動および受動デバイスは両方とも工程のこの段階では未
だ形成されなかつた。同様な開示を米国特許第3911562
号に見出すことができる。
There are several reasons that prevent one of ordinary skill in the art from applying the above mechanical polishing techniques with alumina slurries to polishing multilayered metal structures. First, alumina is considered to be an abrasive. Although used for lapping, alumina is not used for the final chemical mechanical polishing of silicon substrates due to its greater tendency to crystal damage compared to silica slurries. However, U.S. Pat. No. 437,567 to T. Funatsu discloses an alumina slurry with an alkaline additive for selective chemical mechanical removal of polysilicon fill to a Si 3 N 4 etching stop layer. The polysilicon isolation flattening process used is described. However, neither active nor passive devices have yet been formed at this stage of the process. A similar disclosure is given in US Pat. No. 3,911,562.
Can be found in the issue.

別の理由は、絶縁表面からAl−Cu層を除去するため水を
ベースとしたアルミナ・スラリを用いる機械的研摩の使
用はメタライズ構造を作るための制御可能な工程をもた
らさないということである。そのようなスラリはAl−Cu
およびSiO2を同じエツチング速度で研摩し、絶縁層の相
当な除去をもたらすことが後段に示される(表I参
照)。したがつて、依然として基板上に同平坦面の金属
/絶縁体膜を作る新しい改良された方法が要求されてい
る。
Another reason is that the use of mechanical polishing with a water-based alumina slurry to remove the Al-Cu layer from the insulating surface does not result in a controllable process for making the metallized structure. Such a slurry is Al-Cu
It is shown later that the and SiO 2 are polished at the same etching rate, resulting in considerable removal of the insulating layer (see Table I). Therefore, there is still a need for new and improved methods of producing same-planar metal / insulator films on substrates.

したがつて、本発明の主な目的は複雑な、不完全に制御
された、費用のかかる、さらに汚染のもととなる乾式エ
ツチング平坦化技術を必要とすることなく基板上に同平
坦面(Coplanar)の金属/絶縁体膜を作るための方法を
提供することにある。
Therefore, the main object of the present invention is to provide a complex, imperfectly controlled, costly, and contamination-free dry etching planarization technique on the substrate without the need for the same planar surface. Coplanar) metal / insulator film.

本発明の別の目的は金属または絶縁体の性質に関するい
かなる制限も持たない化学機械的技術により基板上に同
平坦面の金属/絶縁体膜を作るための方法を提供するこ
とにある。
Another object of the present invention is to provide a method for producing the same planar metal / insulator film on a substrate by chemical mechanical techniques without any restrictions on the properties of the metal or the insulator.

本発明の別の目的は良好な金属形状が得られる化学機械
的技術により基板上に同平坦面の金属/絶縁体膜を作る
ための方法を提供することにある。
Another object of the present invention is to provide a method for producing a metal / insulator film having the same flat surface on a substrate by a chemical mechanical technique which can obtain a good metal shape.

本発明のさらに別の目的は、絶縁体または金属を非常に
制御性の良い工程において自動エツチング停止障壁とし
て使用することを許容するため絶縁体に対するのとはか
なり違う金属に対する除去速度を有する改善された選択
的スラリを用いる化学機械的技術により、基板上に同平
坦面の金属/絶縁体膜を作るための方法を提供すること
にある。
Yet another object of the present invention is to have an improved removal rate for metals that is significantly different from that for insulators to allow the use of insulators or metals as autoetching stop barriers in highly controlled processes. Another object of the present invention is to provide a method for producing a metal / insulator film having the same flat surface on a substrate by a chemical mechanical technique using a selective slurry.

〔問題点を解決するための手段〕[Means for solving problems]

本発明によれば、基板の用意する工程、少くともバイヤ
ホールと、線状くぼみ、またはそれらの組合せを有する
絶縁材料の層を上記基板上に形成する工程、さらに導電
性金属をこの構造に付着する工程を含み、上記金属は絶
縁材料に対する場合よりかなり速い金属に対する除去速
度を有するスラリで化学機械的に研摩されることを特徴
とする方法により同平坦面の金属/絶縁体膜が基板上に
作られる。したがつて、上記絶縁材料の層は所望なら、
自動研摩またはエツチング停止層として用いることがで
きる。その代りに、研摩停止層だけを絶縁材料の上部に
おいて用いることもできる。
In accordance with the present invention, the steps of preparing a substrate, forming a layer of insulating material having at least a via hole and linear depressions, or a combination thereof on the substrate, and further depositing a conductive metal on the structure. A metal / insulator film having the same planar surface is chemically and mechanically polished with a slurry having a removal rate for the metal which is considerably faster than that for the insulating material. Made Therefore, if a layer of the above insulating material is desired,
It can be used as an automatic polishing or etching stop layer. Alternatively, only the polish stop layer can be used on top of the insulating material.

Al−Cuのようなアルミニウムをベースとした合金とSiO2
のようなドープされた、またはドープされていないガラ
ス質をそれぞれ金属および絶縁材料として用いる場合
は、スラリは約3より小さいpHを生じるため希酸(典型
的にはHNO3溶液)内のアルミナ粉末から成ることが好ま
しい。所望ならば、好適な研摩停止材料は窒化シリコン
膜である。
Aluminum-based alloys such as Al-Cu and SiO 2
Doped or when using undoped glassy respectively as metal and an insulating material, alumina in order to slurry results in less than about 3 p H dilute acid (typically HNO 3 solution), such as It is preferably composed of powder. If desired, the preferred polish stop material is a silicon nitride film.

上記方法は多層化された金属半導体構造の製造工程にお
けるどのレベルのメタライズにおいてでも使うことがで
き、さらに平坦な表面を作るため所期の回数だけ繰返す
ことができる。
The above method can be used at any level of metallization in the fabrication process for multi-layered metal semiconductor structures and can be repeated as many times as desired to produce a flat surface.

〔実施例〕〔Example〕

例I 第1A図には、ほぼ平坦な表面を有し、絶縁層22を上に有
する半導体物体または絶縁物体でよい基板21から成る構
造20が描かれている。たとえば、層22を形成する誘電体
材料は厚さが所期の金属の厚さと下側にある誘電体の厚
さ(ゼロの場合もある得る)の和に等しいスパツタリン
グされた二酸化シリコンでよい。研摩停止層、たとえば
Si3N4を研摩での一層良好な厚さの制御のためSiO2(石
英)の上部に付着することができる。層22を標準的なフ
オトリトグラフイ技術でパターン化して、所期のパター
ン、たとえばトレンチ24を生じる。トレンチとは、絶縁
層の厚さを部分的に、または完全に貫通してもよい任意
の形状のくぼみを意味する。次にトレンチに金属を満た
し、たとえば金属線条23aを作る。Al−Cu合金のような
高導電性金属層23が構造に一律に付着され、さらに上記
トレンチ24を満たす。以下の方法に従つて、合金をスパ
ツタリングされたSiO2の上部表面まで除去し、トレンチ
内のものはそのままにしておく。
Example I FIG. 1A depicts a structure 20 consisting of a substrate 21 having a substantially flat surface and which may be a semiconductor object or an insulating object having an insulating layer 22 thereon. For example, the dielectric material forming layer 22 may be sputtered silicon dioxide whose thickness is equal to the thickness of the desired metal plus the thickness of the underlying dielectric (which may be zero). A polishing stop layer, eg
Si 3 N 4 can be deposited on top of SiO 2 (quartz) for better polishing thickness control. Layer 22 is patterned with standard photolithographic techniques to produce the desired pattern, eg trench 24. By trench is meant an indentation of any shape that may partially or completely penetrate the thickness of the insulating layer. Next, the trench is filled with metal to form, for example, a metal filament 23a. A highly conductive metal layer 23, such as an Al-Cu alloy, is uniformly deposited on the structure and further fills the trench 24. The alloy is removed to the upper surface of the sputtered SiO 2 according to the following method, leaving the one in the trench intact.

構造を直径18インチのストラスバーグ(Strasbaugh)片
面研摩装置のような市販の並行研摩装置、またはIBMテ
クニカル・デイスクロージヤ・ブルテイン、Vol.15.No.
6、1972年11月、P.1760〜1761に記載された装置の中に
置く。好ましい組成の研摩スラリを2つの異なる方法で
調整することができる。
Structures may be commercially available parallel polishers, such as the 18-inch diameter Strasbaugh single-side polisher, or the IBM Technical Disclosure Brutein, Vol.
6, November 1972, put in the device described in P.1760-1761. The abrasive slurry of preferred composition can be prepared in two different ways.

1リツトルのDI水中に浮遊する1グラムのAl2O3粉末
(0.06ミクロンの寸法)を90ccのDI水中における10ミリ
リツトルのHNO3の容液と混合し、約3のpHを得る。また
は、2台のポンプ装置を用いて、硝酸をニードル弁によ
り第1の溶液に加えて同じpHを得る。その他の研摩条件
を以下に要約する。
1 liters DI of Al 2 O 3 powder of 1 gram of floating (size of 0.06 micron) in water was mixed with volume solution of 10 Miriritsutoru HNO 3 in the DI water 90 cc, obtaining about 3 p H. Alternatively, using two pump devices, nitric acid is added to the first solution by a needle valve to obtain the same pH. Other polishing conditions are summarized below.

研摩媒体:pHが約3の酸をベースとしたアルミナ・スラ
リ スラリ流速:120cc/分 研摩圧:1400〜5700Kg/m2 研摩パツド:ローデル(Rodel)210 I2(ローデル・プ
ロダクツ社製) 水をベースとしたアルミナ・スラリを単独または別の希
酸と組合せて用いて、Al−Cu合金およびスパツタリング
されたSiO2の研摩速度を測定した。その結果を下の表I
に示す。
Abrasive media: p H is based on approximately 3 acid alumina-slurry slurry flow rate: 120 cc / min polishing pressure: 1400~5700Kg / m 2 polishing pads: a Rodel (Rodel) 210 I2 (manufactured by Rodel Products Corporation) Water the base and alumina slurries used alone or in combination with another dilute acid, was measured polishing rate of the SiO 2 which is Al-Cu alloy and Supatsutaringu. The results are shown in Table I below.
Shown in.

表Iの試験は酸の添加が水をベースとしたアルミナ・ス
ラリのエツチング能力をある程度(事例2および4)ま
たは大幅に(事例3)改善すること示す。水をベースと
したアルミナ・スラリは金属を除去したり金属試料を調
整するため研摩剤として一般に用いられてきたり、さら
に上述のように、試験または調査のため半導体構造の表
面から金属ランドを除去するため用いられてきたことは
明らかである。しかし、事例1から明らかなように、水
中のAl2O3の使用はAl−CuとスパツタリングされたSiO2
間に所期の除去選択性を何等もたらさず、これは不十分
にしか制御できない工程でSiO2層のかなりの部分も除去
されることを意味する。対照的に、約3より小さいpHを
生じるための酸の添加、特にHNO3の添加はAl−Cuの除去
速度を大幅に増大させる化学機械的研摩スラリを生じ、
一方、予期しないことであるが、スパツタリングされた
SiO2の除去速度を同時に減少させ、全体として他とは異
なる大きなエツチング速度比をもたらす。HNO3は金属に
対する周知のエツチング剤であるが、驚くべきことに
は、本方法では、金属の工程の終りにおいてトレンチ内
で腐食されない。上記方法は12回の別々の研摩作業にお
いて再現可能なことが分つた。詳細には、Al/Cuとスパ
ツタリングされたSiO2の間の他とは異なる大きなエツチ
ング速度比は自動エツチング停止障壁として働くSiO2
であるトレンチ内の残りの金属の厚さに対するすぐれた
制御を保証する。
The tests in Table I show that the addition of acid improves the etching capacity of water-based alumina slurries to some extent (cases 2 and 4) or significantly (case 3). Water-based alumina slurries have been commonly used as abrasives to remove metals and prepare metal samples, and as described above, also remove metal lands from the surface of semiconductor structures for testing or investigation. It is clear that it has been used for. However, as is clear from Case 1, the use of Al 2 O 3 in water shows that SiO 2 sputtered with Al-Cu.
It does not provide any desired removal selectivity in the meantime, which also means that a considerable part of the SiO 2 layer is also removed in a process which is poorly controlled. In contrast, the addition of acid to produce a pH of less than about 3, especially HNO 3 , results in a chemical mechanical polishing slurry that significantly increases the removal rate of Al-Cu,
On the other hand, unexpectedly, I was sputtered
The SiO 2 removal rate is simultaneously reduced, resulting in a large etching rate ratio which is totally different from the others. HNO 3 is a well-known etching agent for metals, but surprisingly the method does not corrode in the trench at the end of the metal process. The above method has been found to be reproducible in 12 separate polishing operations. Specifically, the control which is superior to the thickness of the remaining metal in the trench is SiO 2 layer vary large etching rate ratio to act as an automatic etching stop barrier with other between SiO 2 that is Al / Cu and Supatsutaringu Guarantee.

第1B図のようにトレンチ24を満たす金属23a上部表面は
絶縁層に埋め込まれた導体の線または線条として考える
ことができる。したがつて、広い用途を見出すことが可
能な非常に滑かな表面を備える同平坦面をの金属/絶縁
体膜を結果として得る。
The upper surface of the metal 23a filling the trench 24 as shown in FIG. 1B can be considered as a conductor line or stripe embedded in an insulating layer. Consequently, a metal / insulator film with the same flat surface with a very smooth surface that can find wide application is obtained.

例II 半導体構造30の限定された部分を第3A図に示す。それは
スパツタリングされたSiO2のような誘電体材料のパター
ン化された層32でパツシベートされた所定の同電形のシ
リコン基板31からなる。絶縁層はバイヤ(またはスル
ー)ホール33を備える。バイヤホールにより、絶縁層の
厚さを完全に貫通し、金属で満たされたときそれぞれの
レベルに置かれた導電性材料の間に電気的相互接続をも
たらす穴を意味する。Al−Cuのような高導電性金属の層
34を基板上に一律に付着した。金属は既にシリコン基板
に形成されていた拡散領域35とオーミツクコンタクトを
なす。シリコン基板と関連して説明したが、基板は分離
形(たとえば)セラミツク、ガラス、または工程の前の
段階で形成された金属層のいずれかであつてもよいこと
は言うまでもない。
Example II A limited portion of semiconductor structure 30 is shown in FIG. 3A. It consists of a given isoelectric silicon substrate 31 passivated with a patterned layer 32 of a dielectric material such as SiO 2 which has been sputtered. The insulating layer comprises via (or through) holes 33. By via hole is meant a hole that penetrates completely through the thickness of the insulating layer and, when filled with metal, provides electrical interconnection between the conductive materials placed at each level. Layer of highly conductive metal such as Al-Cu
34 was uniformly attached to the substrate. The metal makes ohmic contact with the diffusion region 35 already formed on the silicon substrate. Although described in connection with a silicon substrate, it should be understood that the substrate may be either a discrete (eg) ceramic, glass, or a metal layer formed at a previous stage in the process.

例Iに関して説明した化学機械的研摩技術を実施した後
で、第3B図に示す構造を結果として得る。バイヤホール
33は完全に金属で満たされ、その上部表面は絶縁層32の
上部表面と同平坦面である。金属34aはたとえばスタツ
ドと考えることができる。したがつて、この場合には、
次にマルチレベルの相互接続系で用いることができる同
平坦面の金属/絶縁体膜も作られる。金属付着の前に、
プラチナ・シリサイド接点が領域35で形成されるか、ま
たは、所望ならば、充填金属が治金と接触することがで
きる。
After performing the chemical mechanical polishing technique described with respect to Example I, the structure shown in Figure 3B results. Bayer hall
33 is completely filled with metal and its top surface is flush with the top surface of insulating layer 32. The metal 34a can be considered as a stud, for example. Therefore, in this case,
Next, the same planar metal / insulator film that can be used in a multi-level interconnect system is also made. Before metal deposition,
A platinum silicide contact is formed in region 35, or a fill metal can contact the metallurgy if desired.

例III 例Iに関して教示された導電性の線が、または例IIに教
示された金属充填バイヤホールのいずれかを形成する工
程の組合せは平坦化されたマルチレベル金属構造の製造
をもたらす。第4図に示すように、マルチレベル金属構
造40は多層金属構造42に備えた基板41から成る。構造42
は上述の化学機械的摩待技術を連続的に適用して、絶縁
体44内に導電性の線43を、次に絶縁体46内に金属充填バ
イヤホール45を、最後に絶縁体48内に導電性の線47を形
成することにより形成される。SiO2の代りにポリイミド
を誘電体材料として使うことができる。スパツタリング
されたSiO2層を標準的スパツタリング技術により付着
し、ポリイミドを標準的な回転および硬化工程により塗
布する。絶縁層はほぼ平坦な表面を覆つて塗布されるの
で、層は平坦化した膜である必要はなく、したがつて、
酸化物が関係するところではPECVDのような高速付着技
術を用いることができる。ドープされた、またはドープ
されていないガラス質のような他の誘電体材料、さらに
種々の重合体も用いることができる。使用される材料に
おける唯一の制限は工程の残りの部分との適合性と絶縁
層内にトレンチまたはバイヤホールを形成する能力であ
る。両方とも標準的フオトリトグライ技術を用いて形成
する。次に、意図した金属パターンを湿式またRIEエツ
チング技術により誘電体に転写するが、微細な寸法が必
要とされるところでは後者の方が好ましい。トレンチま
たバイホールを形成するため使用可能な他の技術には、
投射レーザ支援エツチング、スパツタリング技術または
反応イオン・ビーム・エツチングがある。絶縁層内に完
成されたトレンチまたはバイヤホールの寸法により固定
されるので、微細な金属形状が得られることが分る。絶
縁体のRIEは金属のRIEよりもよく理解されると共にもつ
と制御性にすぐれた工程である。本発明はその差異を利
用できる。スパツタリング、CVDまたは電気めつきを含
むどのような共形的技術によつて金属を付着してもよ
い。。もちろん、アルミニウムとその合金(Al−Si、Al
−Cu)が好ましいとは言え、本発明はそれらに限定され
るものではなく、他の金属も同様に使うことができる。
選択的な化学機械的研摩を用いて同平坦面の金属/絶縁
体膜を作る本発明の広い概念は広い用途を有する。
Example III The combination of steps to form either the conductive lines taught in Example I or the metal filled via holes taught in Example II results in the fabrication of planarized multilevel metal structures. As shown in FIG. 4, the multi-level metal structure 40 comprises a substrate 41 with a multi-layer metal structure 42. Structure 42
Continuously applies the chemical mechanical dressing technique described above to provide a conductive wire 43 in insulator 44, then a metal-filled via hole 45 in insulator 46, and finally in insulator 48. It is formed by forming conductive lines 47. Polyimide can be used as a dielectric material instead of SiO 2 . The sputtered SiO 2 layer is deposited by standard sputtering techniques and the polyimide is applied by standard spin and cure processes. Since the insulating layer is applied over a substantially flat surface, the layer need not be a flattened film, thus:
Where oxides are involved, rapid deposition techniques such as PECVD can be used. Other dielectric materials, such as doped or undoped glassy, as well as various polymers can be used. The only limitations on the materials used are their compatibility with the rest of the process and their ability to form trenches or via holes in the insulating layer. Both are formed using standard photolithographic techniques. The intended metal pattern is then transferred to the dielectric by wet or RIE etching techniques, the latter being preferred where fine dimensions are required. Other techniques that can be used to form trenches and bi-holes include:
There are projection laser assisted etching, sputtering techniques or reactive ion beam etching. It can be seen that a fine metal profile is obtained because it is fixed by the dimensions of the completed trench or via hole in the insulating layer. Insulator RIE is better understood and more controllable than metal RIE. The present invention can take advantage of the difference. The metal may be deposited by any conformal technique including sputtering, CVD or electroplating. . Of course, aluminum and its alloys (Al-Si, Al
Although -Cu) is preferred, the invention is not so limited and other metals can be used as well.
The broad concept of the invention of using selective chemo-mechanical polishing to make same-planar metal / insulator films has wide application.

大きな除去速度比を有する化学機械的研摩技術を金属と
誘電体材料の多くの組合せに対して見出すことができ
る。化学機械的技術の利点は、それが引揚げ工程邸より
速く、費用が安く、より微細な寸法にまで及ぶことがで
きることである。金属RIE技術に比へて広範囲の金属に
適用可能である。乾式エツチング平坦化技術とは著しく
違て、化学機械的平坦化技術は被覆材料が始めに覆われ
た材料の上部表面と同平坦面である平坦な構造を生じ
る。何故ならば、選択的スラリは自動的エツチング停止
層として用いられる後者の材料を大幅に除去しないから
である。乾式エツチング平坦化技術より広範囲の金属に
適用可能であり、さらにもつと制御性が良い。
Chemical mechanical polishing techniques with large removal rate ratios can be found for many combinations of metal and dielectric materials. The advantage of chemo-mechanical technology is that it is faster, less expensive and can reach even finer dimensions than the lifting process house. It is applicable to a wide range of metals compared to metal RIE technology. Significantly different from the dry etching planarization technique, the chemical mechanical planarization technique produces a planar structure in which the coating material is flush with the top surface of the material originally coated. This is because the selective slurry does not significantly remove the latter material used as an automatic etching stop layer. It can be applied to a wider range of metals than dry etching flattening technology, and it has better controllability.

本発明の参考例では、絶縁材料の層を第5図に示すよう
な下のレベルのパターン化された金属の上に付着する。
スラリの成分を適当に変えて、本発明の化学機械的研摩
技術は研摩の終了時に露出される下側にある導電性金属
より大幅に速い速度で上側にある絶縁材料を選択的に除
去することにより、絶縁された構造を断続線50まで平坦
化するように適用できる。たとえば、絶縁材料がスパツ
タリングされたSiO2であり、金属がAl−Cuであるとき、
水酸化カリウムの塩基性溶液(pH約11乃至11.5)とほぼ
1乃至10%の固体含量を有するシリカ粒子とを含むスラ
リが好適である。研摩パツドの材料は好ましくはポリエ
ステルであり、研摩負荷の下で変形しないように十分固
い。最初の平坦化作用の間は、下側にある金属構造の形
状のため、高い地点51における絶縁材料は低い地点52お
よび53におけるより速い速度で除去される。さらに、所
望ならば、研間エツチング停止層を単独で用いることが
できるが、スラリの選択性が下側にある層の除去速度で
関連した上側にある層の除去速度に関して増大するとき
は随意になる。好適な研摩エツチング停止材料には、た
とえば、ポリイミド膜のような有機重合体を(エツチン
グ・プラズマまたはCVDがSiO2を付着したとき)、また
はプラズマ窒化シリコン、MgOまたはAl2O3のような無機
材料(エツチングがSiO2をスパツタリングしたとき)が
ある。下側にある構造の表面の残りの部分に対して、51
のような高い地点の形状の寸法および密度にかかわら
ず、付着された絶縁材料の厚さをLおよびKレベルの金
属を合わせた厚さより小さくすることが平坦化の最適な
結果を得るために好ましいことがこの参考例で分つた。
一般に、化学機械的研摩において、絶縁材料の完全な平
坦化が達成される前にスタツドの金属が到達されるよう
に絶縁材料の厚さを選ばなければならない。通常、SiO2
の厚さが大きすぎるときは、第5図のSiO2を大きなスタ
ツドのような大きな形状にわたつて、またはスタツドの
大きな配列にわたつて均一に除去することは分離された
スタツドにわたつて除去するよりも難しい。SiO2の厚さ
を覆われる金属の厚さよりも幾分小さい量に制限するこ
とにより、SiO2はそれぞれの高い地点にわたつてほぼ同
時に除去される傾向がある。
In a reference embodiment of the present invention, a layer of insulating material is deposited on the lower level patterned metal as shown in FIG.
By appropriately changing the composition of the slurry, the chemical mechanical polishing technique of the present invention selectively removes the overlying insulating material at a much faster rate than the underlying conductive metal exposed at the end of polishing. Can be applied to flatten the insulated structure up to the interrupted line 50. For example, a SiO 2 insulating material is Supatsutaringu, when the metal is Al-Cu,
Slurry containing silica particles having approximately 1 to 10 percent solids with a basic solution of potassium hydroxide (p H about 11 to 11.5) is preferable. The material of the abrasive pad is preferably polyester and is sufficiently rigid so that it will not deform under abrasive loads. During the first planarization, the insulating material at the high points 51 is removed at a faster rate due to the shape of the underlying metal structure at the higher points 52 and 53. Further, if desired, the Kenken etching stop layer can be used alone, but optionally when the selectivity of the slurry increases with respect to the removal rate of the upper layer relative to the removal rate of the lower layer. Become. Suitable abrasive etch stop materials include, for example, organic polymers such as polyimide films (when the etching plasma or CVD deposits SiO 2 ) or inorganic materials such as plasma silicon nitride, MgO or Al 2 O 3. There is a material (when etching sputters SiO 2 ). 51 for the rest of the surface of the underlying structure
Regardless of the size and density of features at high points such as, it is preferable to have a deposited insulating material thickness less than the combined thickness of L and K level metals for optimal planarization results. This was found in this reference example.
Generally, in chemical mechanical polishing, the thickness of the insulating material must be chosen so that the metal of the stud is reached before complete planarization of the insulating material is achieved. Usually SiO 2
5 is too thick, the SiO 2 of FIG. 5 is removed over a large geometry such as a large stud, or evenly removed over a large array of studs over a separate stud. Harder than. By limiting somewhat smaller amount than the thickness of the metal covered the thickness of SiO 2, SiO 2 tends to substantially simultaneously removed Te Watatsu to each high point.

〔発明の効果〕〔The invention's effect〕

複雑で費用がかかり、汚染のもととなる乾式エツチング
平坦化技術を必要とすることなく、基板上に同平坦面
(Coplanar)金属/絶縁体膜を作ることができる。
Coplanar metal / insulator films can be fabricated on a substrate without the need for complex, costly, and contaminating dry etching planarization techniques.

【図面の簡単な説明】[Brief description of drawings]

第1Aおよび第1B図は同平坦面の金属/絶縁体表面を作る
ため絶縁層内に形成された導電性の線に適用された本発
明の実施例の方法を示す多層金属半導体構造の概略断面
図、第2図は標準的公定に従つて製造され、典型的な平
坦でない表面を示す多層金属半導体構造の概略断面図、
第3Aおよび第3B図は同平坦面の金属/絶縁体膜を作るた
め絶縁体層内に形成された金属充填バイヤホールの形成
に適用された本発明の実施例の方法を示す多層金属半導
体構造の概略断面図、第4図は平坦化されたマルチレベ
ル金属構造の作るため上記工程を組合わせる本発明の実
施例の方法を示す多層金属半導体構造の概略断面図、第
5図は同平坦面の絶縁体/金属表面を作るため下側のレ
ベルのパターン化された金属の上に付着された絶縁材料
の層に適用された本発明の参考例の方法を示す多層金属
半導体構造の概略断面図である。 20、30、40……半導体構造、21、31、41……基板、22、
32……誘電体層、23、34……導電性金属層、23a、43…
…金属線条、24……トレンチ、33、45……バイヤホー
ル、44、46……絶縁体。
1A and 1B are schematic cross-sections of a multi-layer metal semiconductor structure showing a method of an embodiment of the present invention applied to a conductive line formed in an insulating layer to produce the same planar metal / insulator surface. FIG. 2, FIG. 2 is a schematic cross-sectional view of a multi-layer metal-semiconductor structure manufactured according to standard official regulations and showing a typical uneven surface,
FIGS. 3A and 3B are multi-layer metal semiconductor structures showing a method according to an embodiment of the present invention applied to the formation of metal-filled via holes formed in an insulator layer to produce the same planar metal / insulator film. FIG. 4 is a schematic cross-sectional view of a multi-layer metal semiconductor structure showing a method of an embodiment of the present invention which combines the above steps to produce a flattened multilevel metal structure, and FIG. 5 is the same flat surface. Schematic cross-sectional view of a multi-layer metal semiconductor structure showing a method of an exemplary embodiment of the present invention applied to a layer of insulating material deposited on a lower level patterned metal to create an insulator / metal surface of Is. 20, 30, 40 …… Semiconductor structure, 21, 31, 41 …… Substrate, 22,
32 ... Dielectric layer, 23, 34 ... Conductive metal layer, 23a, 43 ...
… Metal wire, 24 …… Trench, 33,45 …… Bayer hole, 44,46 …… Insulator.

フロントページの続き (72)発明者 スタンレー・リチヤード・マーカレウイツ クズ アメリカ合衆国ニユーヨーク州ニユー・ウ インドソー、チエリー・アベニユー17番地 (72)発明者 エリツク・メンデル アメリカ合衆国ニユーヨーク州ポーキプシ ー、ハイ・ポイント・ドライブ3番地 (72)発明者 ウイリアム・ジヨン・パトリツク アメリカ合衆国ニユーヨーク州ニユーバー グ、ロツクウツド・ドライブ3番地 (72)発明者 キヤサリーン・アリス・ペリー アメリカ合衆国ニユーヨーク州ラグランジ ビル、アプトン・ロード、アールデイ1、 ボツクス17番地 (72)発明者 ウイリアム・アロン・プリスキン アメリカ合衆国ニユーヨーク州ポーキプシ ー、グリーンベイル・フアームス・ロード 31番地 (72)発明者 ヤコブ・ライズマン アメリカ合衆国ニユーヨーク州ポーキプシ ー、バーナード・アベニユー38番地 (72)発明者 ポール・マーチン・シヤイブル アメリカ合衆国ニユーヨーク州ポーキプシ ー、ヒリス・テラス46番地 (72)発明者 チヤールズ・ランバート・スタンドレイ アメリカ合衆国ニユーヨーク州ワツピンジ ヤーズ・ホールズ、ヒルサイド・レーク、 フロスト・ロード(番地なし) (56)参考文献 特開 昭50−64767(JP,A) 特開 昭50−99685(JP,A) 「半導体プロセス材料実務便覧」(株) サイエンスフォーラム発行,昭和58−4− 25,P.463〜466Front Page Continuation (72) Inventor Stanley Richard Markarewicks, 17 New York Indosaw, New York Indosaw, Thierry Avenyu, 72 (72) Inventor Eritsk Mendel, 3rd High Point Drive, Poughkeepsie, New York, United States ( 72) Inventor William Zyon Patrick, 3 Lockswood Drive, Newburg, New York, USA (72) Inventor, Kyasareen Alice Perry, Lagrange Building, Upton Road, Art Day 1, 17 Boxes, New York, USA (72) Invention William Aron Preskin, 31 Greenvale Farms Road, Poughkeepsie, New York, USA (72) Inventor Jacob Riseman, USA New York Bernard Avenyu, 38, Poughkeepsie, K.K. (72) Inventor Paul Martin Schaible, 46 Hillis Terrace, 72, Inventor, Paul Martin Syible, USA (72) Inventor, Wattspinj Yards Hall, New York, NY Hillside Lake, Frost Road (No Address) (56) References JP-A-50-64767 (JP, A) JP-A-50-99685 (JP, A) "Handbook of Semiconductor Process Materials" Science Forum Co., Ltd. Published, Showa 58-4-25, p. 463-466

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】同平坦面の金属層および絶縁層を備えた構
造を基板上に形成する方法であって、 上記基板上に、くぼみまたは穴を有する絶縁層を形成
し、 上記絶縁層上に、上記くぼみまたは穴を埋めるのに十分
な厚さを有する金属層を付着し、 上記絶縁層および上記金属層の表面が実質的に同平坦面
になるまで、アルミナ粉末を含む酸添加スラリを用いて
上記基板の上面を化学機械的に研磨することを特徴とす
る、同平坦面の金属層および絶縁層の形成方法。
1. A method for forming a structure having a metal layer and an insulating layer on the same flat surface on a substrate, the method comprising forming an insulating layer having a depression or a hole on the substrate, and forming the insulating layer on the insulating layer. Using an acid-added slurry containing alumina powder until a metal layer having a sufficient thickness to fill the recess or hole is deposited and the surfaces of the insulating layer and the metal layer are substantially the same flat surface. A method of forming a metal layer and an insulating layer having the same flat surface, characterized in that the upper surface of the substrate is chemically mechanically polished.
JP61214224A 1985-10-28 1986-09-12 Method for forming metal layer and insulating layer on the same flat surface Expired - Lifetime JPH0777218B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/791,860 US4944836A (en) 1985-10-28 1985-10-28 Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US791860 1997-01-31

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP6291012A Division JP2659918B2 (en) 1985-10-28 1994-11-25 Method for forming metal layer and silicon dioxide layer on flat surface

Publications (2)

Publication Number Publication Date
JPS62102543A JPS62102543A (en) 1987-05-13
JPH0777218B2 true JPH0777218B2 (en) 1995-08-16

Family

ID=25155001

Family Applications (2)

Application Number Title Priority Date Filing Date
JP61214224A Expired - Lifetime JPH0777218B2 (en) 1985-10-28 1986-09-12 Method for forming metal layer and insulating layer on the same flat surface
JP6291012A Expired - Lifetime JP2659918B2 (en) 1985-10-28 1994-11-25 Method for forming metal layer and silicon dioxide layer on flat surface

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP6291012A Expired - Lifetime JP2659918B2 (en) 1985-10-28 1994-11-25 Method for forming metal layer and silicon dioxide layer on flat surface

Country Status (5)

Country Link
US (1) US4944836A (en)
EP (1) EP0223920B1 (en)
JP (2) JPH0777218B2 (en)
CA (1) CA1245517A (en)
DE (1) DE3676458D1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10017669B2 (en) 2014-03-11 2018-07-10 Shin-Etsu Chemical Co., Ltd. Polishing composition, polishing method, and method for producing polishing composition

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4816112A (en) * 1986-10-27 1989-03-28 International Business Machines Corporation Planarization process through silylation
CA1306072C (en) * 1987-03-30 1992-08-04 John E. Cronin Refractory metal - titanium nitride conductive structures and processes for forming the same
JPH0682660B2 (en) * 1987-08-17 1994-10-19 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン Method for forming a conductive stud
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
DE68927871T2 (en) * 1988-11-09 1997-07-03 Sony Corp Manufacturing process of a semiconductor wafer
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5122439A (en) * 1989-08-28 1992-06-16 International Business Machines Corp. Forming a pattern on a substrate
US5081563A (en) * 1990-04-27 1992-01-14 International Business Machines Corporation Multi-layer package incorporating a recessed cavity for a semiconductor chip
US5229257A (en) * 1990-04-30 1993-07-20 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polymide polymer compositions
US5091289A (en) * 1990-04-30 1992-02-25 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polyimide polymer compositions
US5142828A (en) * 1990-06-25 1992-09-01 Microelectronics And Computer Technology Corporation Correcting a defective metallization layer on an electronic component by polishing
DE69023765T2 (en) * 1990-07-31 1996-06-20 Ibm Process for the production of components with field effect transistors arranged one above the other with a tungsten grating and the resulting structure.
EP0469217B1 (en) * 1990-07-31 1996-04-10 International Business Machines Corporation Method of forming stacked self-aligned polysilicon PFET devices and structures resulting therefrom
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5064683A (en) * 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
US5266446A (en) * 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
GB9105943D0 (en) * 1991-03-20 1991-05-08 Philips Nv A method of manufacturing a semiconductor device
US5137597A (en) * 1991-04-11 1992-08-11 Microelectronics And Computer Technology Corporation Fabrication of metal pillars in an electronic component using polishing
JP3216104B2 (en) * 1991-05-29 2001-10-09 ソニー株式会社 Metal plug forming method and wiring forming method
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
US5627345A (en) * 1991-10-24 1997-05-06 Kawasaki Steel Corporation Multilevel interconnect structure
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
EP0543449B1 (en) * 1991-11-19 1997-03-05 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with aluminium tracks mutually insulated in lateral direction by an aluminium compound
US5266517A (en) * 1991-12-17 1993-11-30 Texas Instruments Incorporated Method for forming a sealed interface on a semiconductor device
US5255224A (en) * 1991-12-18 1993-10-19 International Business Machines Corporation Boosted drive system for master/local word line memory architecture
US5245790A (en) * 1992-02-14 1993-09-21 Lsi Logic Corporation Ultrasonic energy enhanced chemi-mechanical polishing of silicon wafers
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5270241A (en) * 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
USRE39665E1 (en) 1992-03-13 2007-05-29 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5162248A (en) * 1992-03-13 1992-11-10 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5422289A (en) * 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5302551A (en) * 1992-05-11 1994-04-12 National Semiconductor Corporation Method for planarizing the surface of an integrated circuit over a metal interconnect layer
US5209816A (en) * 1992-06-04 1993-05-11 Micron Technology, Inc. Method of chemical mechanical polishing aluminum containing metal layers and slurry for chemical mechanical polishing
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5310455A (en) * 1992-07-10 1994-05-10 Lsi Logic Corporation Techniques for assembling polishing pads for chemi-mechanical polishing of silicon wafers
US5265378A (en) * 1992-07-10 1993-11-30 Lsi Logic Corporation Detecting the endpoint of chem-mech polishing and resulting semiconductor device
JPH0697132A (en) * 1992-07-10 1994-04-08 Lsi Logic Corp Mechanochemical polishing apparatus of semiconductor wafer, mounting method of semiconductor-wafer polishing pad to platen of above apparatus and polishing composite pad of above apparatus
US5292689A (en) * 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
US5340978A (en) * 1992-09-30 1994-08-23 Lsi Logic Corporation Image-sensing display panels with LCD display panel and photosensitive element array
US5760834A (en) * 1992-09-30 1998-06-02 Lsi Logic Electronic camera with binary lens element array
US5529936A (en) * 1992-09-30 1996-06-25 Lsi Logic Corporation Method of etching a lens for a semiconductor solid state image sensor
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material
US5540810A (en) * 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
EP0609496B1 (en) * 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Process of making a metallization stage comprising contacts and runners which canneet these contacts
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5389194A (en) * 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
US5626715A (en) * 1993-02-05 1997-05-06 Lsi Logic Corporation Methods of polishing semiconductor substrates
KR100320364B1 (en) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 Metal wiring and its formation method
KR0166404B1 (en) * 1993-03-26 1999-02-01 사토 후미오 Polishing method and polishing apparatus
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
US5607718A (en) * 1993-03-26 1997-03-04 Kabushiki Kaisha Toshiba Polishing method and polishing apparatus
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
JP3360350B2 (en) * 1993-04-21 2002-12-24 ヤマハ株式会社 Surface flattening method
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5652180A (en) * 1993-06-28 1997-07-29 Kawasaki Steel Corporation Method of manufacturing semiconductor device with contact structure
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5635083A (en) * 1993-08-06 1997-06-03 Intel Corporation Method and apparatus for chemical-mechanical polishing using pneumatic pressure applied to the backside of a substrate
US5702870A (en) * 1993-08-27 1997-12-30 Vlsi Technology, Inc. Integrated-circuit via formation using gradient photolithography
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
US5938504A (en) * 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5582534A (en) * 1993-12-27 1996-12-10 Applied Materials, Inc. Orbital chemical mechanical polishing apparatus and method
US5650039A (en) * 1994-03-02 1997-07-22 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved slurry distribution
US6027997A (en) * 1994-03-04 2000-02-22 Motorola, Inc. Method for chemical mechanical polishing a semiconductor device using slurry
US5733175A (en) 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5699605A (en) * 1994-05-23 1997-12-23 Seagate Technology, Inc. Method for forming a magnetic thin film head with recessed basecoat
US5512518A (en) * 1994-06-06 1996-04-30 Motorola, Inc. Method of manufacture of multilayer dielectric on a III-V substrate
US5484740A (en) * 1994-06-06 1996-01-16 Motorola, Inc. Method of manufacturing a III-V semiconductor gate structure
JP4417439B2 (en) * 1994-06-29 2010-02-17 フリースケール セミコンダクター インコーポレイテッド Semiconductor device structure and method using etching stop layer
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5447874A (en) * 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
US5607341A (en) 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
JP2701751B2 (en) 1994-08-30 1998-01-21 日本電気株式会社 Method for manufacturing semiconductor device
US5456756A (en) * 1994-09-02 1995-10-10 Advanced Micro Devices, Inc. Holding apparatus, a metal deposition system, and a wafer processing method which preserve topographical marks on a semiconductor wafer
TW274625B (en) * 1994-09-30 1996-04-21 Hitachi Seisakusyo Kk
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5622881A (en) * 1994-10-06 1997-04-22 International Business Machines Corporation Packing density for flash memories
US5665249A (en) * 1994-10-17 1997-09-09 Xerox Corporation Micro-electromechanical die module with planarized thick film layer
US5627110A (en) * 1994-10-24 1997-05-06 Advanced Micro Devices, Inc. Method for eliminating window mask process in the fabrication of a semiconductor wafer when chemical-mechanical polish planarization is used
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
JPH08191054A (en) * 1995-01-10 1996-07-23 Kawasaki Steel Corp Semiconductor device and manufacture thereof
US6001729A (en) * 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5486265A (en) * 1995-02-06 1996-01-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using a pulse polishing technique
US5619072A (en) * 1995-02-09 1997-04-08 Advanced Micro Devices, Inc. High density multi-level metallization and interconnection structure
DE69610821T2 (en) * 1995-02-10 2001-06-07 Advanced Micro Devices Inc CHEMICAL-MECHANICAL POLISHING WITH CURVED CARRIERS
US5769696A (en) * 1995-02-10 1998-06-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using non-baked carrier film
US5670828A (en) * 1995-02-21 1997-09-23 Advanced Micro Devices, Inc. Tunneling technology for reducing intra-conductive layer capacitance
AU4866496A (en) * 1995-02-24 1996-09-18 Intel Corporation Polysilicon polish for patterning improvement
US5644188A (en) * 1995-05-08 1997-07-01 Advanced Vision Technologies, Inc. Field emission display cell structure
US5630741A (en) * 1995-05-08 1997-05-20 Advanced Vision Technologies, Inc. Fabrication process for a field emission display cell structure
JPH11507168A (en) * 1995-05-08 1999-06-22 アドバンスド ビジョン テクノロジーズ,インコーポレイテッド Field emission display cell structure and manufacturing process
US5811929A (en) * 1995-06-02 1998-09-22 Advanced Vision Technologies, Inc. Lateral-emitter field-emission device with simplified anode
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US5702563A (en) * 1995-06-07 1997-12-30 Advanced Micro Devices, Inc. Reduced chemical-mechanical polishing particulate contamination
US5952243A (en) * 1995-06-26 1999-09-14 Alliedsignal Inc. Removal rate behavior of spin-on dielectrics with chemical mechanical polish
EP0751566A3 (en) 1995-06-30 1997-02-26 Ibm A thin film metal barrier for electrical interconnections
US5976971A (en) * 1995-07-19 1999-11-02 Ricoh Company, Ltd. Fabrication process of a semiconductor device having an interconnection structure
US6478977B1 (en) 1995-09-13 2002-11-12 Hitachi, Ltd. Polishing method and apparatus
KR970707571A (en) * 1995-09-14 1997-12-01 이시마루 미키오 DAMASCENE PROCESS FOR REDUCED FEATURE SIZE
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5855735A (en) * 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5885900A (en) * 1995-11-07 1999-03-23 Lucent Technologies Inc. Method of global planarization in fabricating integrated circuit devices
JP3457123B2 (en) * 1995-12-07 2003-10-14 株式会社リコー Semiconductor device
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
EP0779655A3 (en) * 1995-12-14 1997-07-16 International Business Machines Corporation A method of chemically-mechanically polishing an electronic component
US5738562A (en) * 1996-01-24 1998-04-14 Micron Technology, Inc. Apparatus and method for planar end-point detection during chemical-mechanical polishing
US5607874A (en) * 1996-02-02 1997-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a DRAM cell with a T shaped storage capacitor
JP2773729B2 (en) * 1996-02-29 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
KR0183826B1 (en) * 1996-03-04 1999-05-01 김광호 Cleaner and its cleaning method
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
US6657229B1 (en) 1996-05-28 2003-12-02 United Microelectronics Corporation Semiconductor device having multiple transistors sharing a common gate
JP2809200B2 (en) * 1996-06-03 1998-10-08 日本電気株式会社 Method for manufacturing semiconductor device
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
JP3309717B2 (en) * 1996-06-26 2002-07-29 三菱電機株式会社 Method of manufacturing integrated circuit wiring
US5994241A (en) * 1996-07-31 1999-11-30 International Business Machines Corporation Method of forming conductive lines on a semiconductor wafer
US5846398A (en) * 1996-08-23 1998-12-08 Sematech, Inc. CMP slurry measurement and control technique
US5893983A (en) * 1996-08-28 1999-04-13 International Business Machines Corporation Technique for removing defects from a layer of metal
US5759427A (en) * 1996-08-28 1998-06-02 International Business Machines Corporation Method and apparatus for polishing metal surfaces
US6093575A (en) * 1996-09-04 2000-07-25 Nippon Steel Corporation Semiconductor device and production method of a semiconductor device having a capacitor
US6039891A (en) * 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6043206A (en) 1996-10-19 2000-03-28 Samsung Electronics Co., Ltd. Solutions for cleaning integrated circuit substrates
FR2754937B1 (en) * 1996-10-23 1999-01-15 Hoechst France NOVEL MECHANICAL AND CHEMICAL POLISHING OF INSULATING MATERIAL LAYERS BASED ON SILICON OR SILICON DERIVATIVES
GB9623185D0 (en) * 1996-11-09 1997-01-08 Epigem Limited Improved micro relief element and preparation thereof
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5834349A (en) * 1996-12-02 1998-11-10 Vanguard International Semiconductor Corporation Method for fabricating memory cells using chemical mechanical polishing technology
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5854140A (en) * 1996-12-13 1998-12-29 Siemens Aktiengesellschaft Method of making an aluminum contact
US5786260A (en) * 1996-12-16 1998-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
KR100243272B1 (en) * 1996-12-20 2000-03-02 윤종용 The method of forming contact plug in semiconductor device
US5759917A (en) 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6136510A (en) * 1997-02-13 2000-10-24 Advanced Micro Devices, Inc. Doubled-sided wafer scrubbing for improved photolithography
US6153525A (en) * 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US5910022A (en) * 1997-05-22 1999-06-08 Vlsi Technology, Inc. Method and system for tungsten chemical mechanical polishing for unplanarized dielectric surfaces
EP1655769A3 (en) * 1997-06-06 2006-05-31 Koninklijke Philips Electronics N.V. Method of cleaning a semiconductor device and cleaning agent for this purpose
TW332915B (en) * 1997-06-24 1998-06-01 Ti Acer Co Ltd The producing method for shallow trench isolation with global planarization
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
JPH1197525A (en) * 1997-09-19 1999-04-09 Hitachi Ltd Semiconductor device and manufacture thereof
AT410043B (en) 1997-09-30 2003-01-27 Sez Ag METHOD FOR PLANARIZING SEMICONDUCTOR SUBSTRATES
JP3371775B2 (en) * 1997-10-31 2003-01-27 株式会社日立製作所 Polishing method
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3309783B2 (en) * 1997-10-31 2002-07-29 日本電気株式会社 Method for manufacturing semiconductor device
US6146973A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. High density isolation using an implant as a polish stop
US6002160A (en) 1997-12-12 1999-12-14 Advanced Micro Devices, Inc. Semiconductor isolation process to minimize weak oxide problems
US6072191A (en) 1997-12-16 2000-06-06 Advanced Micro Devices, Inc. Interlevel dielectric thickness monitor for complex semiconductor chips
US6093650A (en) * 1997-12-17 2000-07-25 Advanced Micro Devices, Inc. Method for fully planarized conductive line for a stack gate
US6284151B1 (en) 1997-12-23 2001-09-04 International Business Machines Corporation Chemical mechanical polishing slurry for tungsten
US6294105B1 (en) 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US5966597A (en) 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6143663A (en) * 1998-01-22 2000-11-07 Cypress Semiconductor Corporation Employing deionized water and an abrasive surface to polish a semiconductor topography
US6200896B1 (en) * 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6133106A (en) * 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
US6008084A (en) * 1998-02-27 1999-12-28 Vanguard International Semiconductor Corporation Method for fabricating low resistance bit line structures, along with bit line structures exhibiting low bit line to bit line coupling capacitance
US6114249A (en) * 1998-03-10 2000-09-05 International Business Machines Corporation Chemical mechanical polishing of multiple material substrates and slurry having improved selectivity
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6171180B1 (en) * 1998-03-31 2001-01-09 Cypress Semiconductor Corporation Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface
US6479374B1 (en) 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6327513B1 (en) * 1998-04-16 2001-12-04 Vlsi Technology, Inc. Methods and apparatus for calculating alignment of layers during semiconductor processing
US6218306B1 (en) 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US5964953A (en) * 1998-05-26 1999-10-12 Memc Electronics Materials, Inc. Post-etching alkaline treatment process
JP3121311B2 (en) 1998-05-26 2000-12-25 日本電気株式会社 Multilayer wiring structure, semiconductor device having the same, and manufacturing method thereof
US5928962A (en) * 1998-06-01 1999-07-27 Motorola, Inc. Process for forming a semiconductor device
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6140130A (en) * 1998-07-13 2000-10-31 Nalco Chemical Company Detection and removal of copper from wastewater streams from semiconductor and printed circuit board processing
JP3858462B2 (en) 1998-07-30 2006-12-13 株式会社日立製作所 Manufacturing method of semiconductor device
US6534378B1 (en) 1998-08-31 2003-03-18 Cypress Semiconductor Corp. Method for forming an integrated circuit device
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
TW476777B (en) 1998-08-31 2002-02-21 Hitachi Chemical Co Ltd Abrasive liquid for metal and method for polishing
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
JP4095731B2 (en) 1998-11-09 2008-06-04 株式会社ルネサステクノロジ Semiconductor device manufacturing method and semiconductor device
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6444564B1 (en) 1998-11-23 2002-09-03 Advanced Micro Devices, Inc. Method and product for improved use of low k dielectric material among integrated circuit interconnect structures
US6284560B1 (en) 1998-12-18 2001-09-04 Eastman Kodak Company Method for producing co-planar surface structures
TWI224128B (en) 1998-12-28 2004-11-21 Hitachi Chemical Co Ltd Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6057245A (en) * 1999-01-19 2000-05-02 Vlsi Technology, Inc. Gas phase planarization process for semiconductor wafers
TWI267549B (en) 1999-03-18 2006-12-01 Toshiba Corp Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded wiring
US6752844B2 (en) * 1999-03-29 2004-06-22 Intel Corporation Ceric-ion slurry for use in chemical-mechanical polishing
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
JP2000349249A (en) 1999-06-08 2000-12-15 Oki Electric Ind Co Ltd Manufacture of semiconductor storage device
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6272275B1 (en) 1999-06-25 2001-08-07 Corning Incorporated Print-molding for process for planar waveguides
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3805588B2 (en) * 1999-12-27 2006-08-02 株式会社日立製作所 Manufacturing method of semiconductor device
TWI296006B (en) 2000-02-09 2008-04-21 Jsr Corp
US6712681B1 (en) 2000-06-23 2004-03-30 International Business Machines Corporation Polishing pads with polymer filled fibrous web, and methods for fabricating and using same
JP2002050595A (en) 2000-08-04 2002-02-15 Hitachi Ltd Polishing method, wiring forming method and method for manufacturing semiconductor device
US6585572B1 (en) 2000-08-22 2003-07-01 Lam Research Corporation Subaperture chemical mechanical polishing system
US6640155B2 (en) 2000-08-22 2003-10-28 Lam Research Corporation Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head
US6652357B1 (en) 2000-09-22 2003-11-25 Lam Research Corporation Methods for controlling retaining ring and wafer head tilt for chemical mechanical polishing
US7481695B2 (en) 2000-08-22 2009-01-27 Lam Research Corporation Polishing apparatus and methods having high processing workload for controlling polishing pressure applied by polishing head
US6471566B1 (en) 2000-09-18 2002-10-29 Lam Research Corporation Sacrificial retaining ring CMP system and methods for implementing the same
US6443815B1 (en) 2000-09-22 2002-09-03 Lam Research Corporation Apparatus and methods for controlling pad conditioning head tilt for chemical mechanical polishing
JP2002118083A (en) 2000-10-05 2002-04-19 Hitachi Ltd Method of manufacturing semiconductor integrated circuit device
TWI228538B (en) * 2000-10-23 2005-03-01 Kao Corp Polishing composition
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP3677455B2 (en) 2001-02-13 2005-08-03 Necエレクトロニクス株式会社 Nonvolatile magnetic storage device and method of manufacturing the same
JP2002319556A (en) 2001-04-19 2002-10-31 Hitachi Ltd Manufacturing method for semiconductor integrated circuit device
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6602780B2 (en) * 2001-09-06 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for protecting sidewalls of etched openings to prevent via poisoning
TW200300168A (en) * 2001-10-31 2003-05-16 Hitachi Chemical Co Ltd Polishing fluid and polishing method
TWI259201B (en) * 2001-12-17 2006-08-01 Hitachi Chemical Co Ltd Slurry for metal polishing and method of polishing with the same
KR100444307B1 (en) * 2001-12-28 2004-08-16 주식회사 하이닉스반도체 Method for manufacturing of metal line contact plug of semiconductor device
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
JP2004006628A (en) * 2002-03-27 2004-01-08 Hitachi Ltd Method for manufacturing semiconductor device
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
EP1505639B1 (en) * 2002-04-30 2008-08-06 Hitachi Chemical Company, Ltd. Polishing fluid and polishing method
AU2003272195A1 (en) 2002-04-30 2004-01-06 Hrl Laboratories, Llc Quartz-based nanoresonators and method of fabricating same
EP1362827B1 (en) * 2002-05-16 2008-09-03 Micronit Microfluidics B.V. Method of fabrication of a microfluidic device
US20070286773A1 (en) * 2002-05-16 2007-12-13 Micronit Microfluidics B.V. Microfluidic Device
TWI282360B (en) * 2002-06-03 2007-06-11 Hitachi Chemical Co Ltd Polishing composition and polishing method thereof
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6641632B1 (en) * 2002-11-18 2003-11-04 International Business Machines Corporation Polishing compositions and use thereof
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
US7994877B1 (en) 2008-11-10 2011-08-09 Hrl Laboratories, Llc MEMS-based quartz hybrid filters and a method of making the same
US8766745B1 (en) 2007-07-25 2014-07-01 Hrl Laboratories, Llc Quartz-based disk resonator gyro with ultra-thin conductive outer electrodes and method of making same
JP4400562B2 (en) * 2003-06-13 2010-01-20 日立化成工業株式会社 Polishing liquid for metal and polishing method
US7086932B2 (en) * 2004-05-11 2006-08-08 Freudenberg Nonwovens Polishing pad
US20050042976A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Low friction planarizing/polishing pads and use thereof
KR100528069B1 (en) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 Semiconductor Device And Method For Manufacturing The Same
TW200512926A (en) * 2003-09-18 2005-04-01 Semiconductor Leading Edge Tec Method of manufacturing semiconductor device
US6992390B2 (en) * 2003-11-07 2006-01-31 International Business Machines Corp. Liner with improved electromigration redundancy for damascene interconnects
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
KR100552812B1 (en) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 Cu LINE FORMATION METHOD OF SEMICONDUCTOR DEVICE
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
KR100593737B1 (en) * 2004-01-28 2006-06-28 삼성전자주식회사 Wiring Method and Wiring Structure of Semiconductor Device
TW200527485A (en) * 2004-01-30 2005-08-16 Semiconductor Leading Edge Tec Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
US20070196975A1 (en) * 2004-04-12 2007-08-23 Hitachi Chemical Co., Ltd. Metal-Polishing Liquid And Polishing Method Using The Same
US7696089B1 (en) * 2004-05-11 2010-04-13 Johnson Research & Development Co., Inc. Passivated thin film and method of producing same
KR20050114784A (en) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 Method for forming cu interconnection of semiconductor device
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US20060000808A1 (en) * 2004-07-01 2006-01-05 Fuji Photo Film Co., Ltd. Polishing solution of metal and chemical mechanical polishing method
KR100701375B1 (en) * 2004-07-08 2007-03-28 동부일렉트로닉스 주식회사 Method for fabricating metal line in a semiconductor
US7071097B2 (en) * 2004-07-09 2006-07-04 International Business Machines Corporation Method for improved process latitude by elongated via integration
KR100602087B1 (en) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 Semiconductor device and method of manufacturing the same
US7025661B2 (en) * 2004-09-16 2006-04-11 United Microelectronics Corp. Chemical mechanical polishing process
US20060057945A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
KR100641506B1 (en) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 Method for cleaning semiconductor device with dual damascene structure
JP2006100538A (en) * 2004-09-29 2006-04-13 Fuji Photo Film Co Ltd Polishing composition and polishing method using the same
KR100552857B1 (en) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 Method for fabricating contact of semiconductor device
KR20070063499A (en) * 2004-10-26 2007-06-19 로무 가부시키가이샤 Semiconductor device and semiconductor device manufacturing method
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP2006179845A (en) * 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd Polishing solution for metal, and polishing method
KR20060101396A (en) * 2005-03-17 2006-09-22 후지 샤신 필름 가부시기가이샤 Metal polishing solution and polishing method
US9287356B2 (en) * 2005-05-09 2016-03-15 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8183665B2 (en) * 2005-11-15 2012-05-22 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8217490B2 (en) * 2005-05-09 2012-07-10 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US9911743B2 (en) * 2005-05-09 2018-03-06 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7835170B2 (en) 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
US8513768B2 (en) * 2005-05-09 2013-08-20 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7185695B1 (en) * 2005-09-01 2007-03-06 United Technologies Corporation Investment casting pattern manufacture
JP2007088379A (en) * 2005-09-26 2007-04-05 Fujifilm Corp Aqueous polishing slurry and chemical mechanical polishing method
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
EP1936673A4 (en) * 2005-10-12 2011-01-05 Hitachi Chemical Co Ltd Polishing solution for cmp and method of polishing
KR20080059301A (en) * 2005-11-22 2008-06-26 히다치 가세고교 가부시끼가이샤 Polishing fluid for polishing aluminum films and method for polishing aluminum films with the same
CN101346806B (en) * 2005-12-27 2010-09-29 日立化成工业株式会社 Metal polishing liquid and method for polishing film to be polished
TW200734436A (en) * 2006-01-30 2007-09-16 Fujifilm Corp Metal-polishing liquid and chemical mechanical polishing method using the same
JP2007207908A (en) * 2006-01-31 2007-08-16 Fujifilm Corp Polishing agent for barrier layer
US20070176142A1 (en) * 2006-01-31 2007-08-02 Fujifilm Corporation Metal- polishing liquid and chemical-mechanical polishing method using the same
US7607227B2 (en) * 2006-02-08 2009-10-27 Eastman Kodak Company Method of forming a printhead
JP2007214518A (en) * 2006-02-13 2007-08-23 Fujifilm Corp Metal polishing liquid
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
FR2898906B1 (en) * 2006-03-21 2008-05-16 Kemesys CHEMICAL MECANO POLISHING COMPOSITION INCLUDING ALKYLXANTHATE, PROCESS FOR PREPARATION AND USE
JP4990543B2 (en) * 2006-03-23 2012-08-01 富士フイルム株式会社 Polishing liquid for metal
US20090094901A1 (en) * 2006-04-24 2009-04-16 Hitachi Chemical Co. Ltd. CMP Polishing Liquid and Polishing Method
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP2008004161A (en) * 2006-06-22 2008-01-10 Fujitsu Ltd Manufacturing method of metal pattern
US20080220610A1 (en) * 2006-06-29 2008-09-11 Cabot Microelectronics Corporation Silicon oxide polishing method utilizing colloidal silica
JPWO2008004534A1 (en) * 2006-07-04 2009-12-03 日立化成工業株式会社 Polishing liquid for CMP
KR20120002624A (en) * 2006-07-05 2012-01-06 히다치 가세고교 가부시끼가이샤 Polishing liquid for cmp and polishing method
WO2008021912A2 (en) 2006-08-08 2008-02-21 Nantero, Inc. Nonvolatile resistive memories, latch circuits, and operation circuits having scalable two-terminal nanotube switches
US7555824B2 (en) 2006-08-09 2009-07-07 Hrl Laboratories, Llc Method for large scale integration of quartz-based devices
JP2008181955A (en) * 2007-01-23 2008-08-07 Fujifilm Corp Polishing liquid for metal and polishing method using the same
JP2008192930A (en) * 2007-02-06 2008-08-21 Fujifilm Corp Metal polishing composition and chemical mechanical polishing method using the same
US8821750B2 (en) * 2007-02-27 2014-09-02 Hitachi Chemical Co., Ltd. Metal polishing slurry and polishing method
JP2008280229A (en) * 2007-04-13 2008-11-20 Hitachi Chem Co Ltd Manufacturing process of surface-modified silicon dioxide particles and polishing liquid
US7884930B2 (en) * 2007-06-14 2011-02-08 Hrl Laboratories, Llc Integrated quartz biological sensor and method
CN101689494B (en) 2007-07-05 2013-09-25 日立化成株式会社 Polishing liquid for metal film
EP2169710A4 (en) * 2007-07-10 2010-11-17 Hitachi Chemical Co Ltd Metal film polishing liquid and polishing method
US10266398B1 (en) 2007-07-25 2019-04-23 Hrl Laboratories, Llc ALD metal coatings for high Q MEMS structures
WO2009017095A1 (en) * 2007-07-30 2009-02-05 Hitachi Chemical Co., Ltd. Polishing liquid for metal and method of polishing
JP5140469B2 (en) * 2007-09-12 2013-02-06 富士フイルム株式会社 Metal polishing liquid and chemical mechanical polishing method
TW200920828A (en) * 2007-09-20 2009-05-16 Fujifilm Corp Polishing slurry for metal and chemical mechanical polishing method
WO2009054370A1 (en) * 2007-10-23 2009-04-30 Hitachi Chemical Company, Ltd. Cmp polishing liquid and method for polishing substrate using the same
JP5326296B2 (en) * 2007-10-24 2013-10-30 日立化成株式会社 Polishing liquid for CMP
US8151640B1 (en) 2008-02-05 2012-04-10 Hrl Laboratories, Llc MEMS on-chip inertial navigation system with error correction
US7802356B1 (en) 2008-02-21 2010-09-28 Hrl Laboratories, Llc Method of fabricating an ultra thin quartz resonator component
JP5403924B2 (en) * 2008-02-29 2014-01-29 富士フイルム株式会社 Polishing liquid for metal and chemical mechanical polishing method
US9202709B2 (en) * 2008-03-19 2015-12-01 Fujifilm Corporation Polishing liquid for metal and polishing method using the same
JP5202258B2 (en) * 2008-03-25 2013-06-05 富士フイルム株式会社 Metal polishing composition and chemical mechanical polishing method
EP2273537A4 (en) 2008-04-15 2012-07-25 Hitachi Chemical Co Ltd Polishing solution for metal films and polishing method using the same
KR101263625B1 (en) * 2008-04-16 2013-05-10 히타치가세이가부시끼가이샤 Polishing solution for cmp and polishing method
US8900473B2 (en) * 2008-08-06 2014-12-02 Hitachi Chemical Company, Ltd. Polishing solution for CMP, and method for polishing substrate using the polishing solution for CMP
WO2011007588A1 (en) 2009-07-16 2011-01-20 日立化成工業株式会社 Cmp fluid and method for polishing palladium
US8883031B2 (en) 2009-08-19 2014-11-11 Hitachi Chemical Company, Ltd. CMP polishing liquid and polishing method
US8176607B1 (en) 2009-10-08 2012-05-15 Hrl Laboratories, Llc Method of fabricating quartz resonators
JP5533889B2 (en) 2010-02-15 2014-06-25 日立化成株式会社 CMP polishing liquid and polishing method
US8912711B1 (en) 2010-06-22 2014-12-16 Hrl Laboratories, Llc Thermal stress resistant resonator, and a method for fabricating same
JP5141792B2 (en) 2010-06-29 2013-02-13 日立化成工業株式会社 CMP polishing liquid and polishing method
TWI568541B (en) * 2010-12-22 2017-02-01 Jsr Corp Chemical mechanical grinding method
EP2693459A4 (en) 2011-03-30 2015-04-22 Fujimi Inc Polishing composition and polishing method
US20120285483A1 (en) * 2011-05-12 2012-11-15 Li-Chung Liu Method of cleaning a wafer
JP6050934B2 (en) 2011-11-08 2016-12-21 株式会社フジミインコーポレーテッド Polishing composition, polishing method using the same, and substrate manufacturing method
US9633863B2 (en) 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
DE112013005264T5 (en) 2012-11-02 2015-09-24 Fujimi Incorporated polishing composition
US9259818B2 (en) * 2012-11-06 2016-02-16 Sinmat, Inc. Smooth diamond surfaces and CMP method for forming
JP6016301B2 (en) 2013-02-13 2016-10-26 昭和電工株式会社 Surface processing method of single crystal SiC substrate, manufacturing method thereof, and grinding plate for surface processing of single crystal SiC substrate
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9250074B1 (en) 2013-04-12 2016-02-02 Hrl Laboratories, Llc Resonator assembly comprising a silicon resonator and a quartz resonator
US9599470B1 (en) 2013-09-11 2017-03-21 Hrl Laboratories, Llc Dielectric high Q MEMS shell gyroscope structure
WO2015040979A1 (en) 2013-09-20 2015-03-26 株式会社フジミインコーポレーテッド Polishing composition
JP6113619B2 (en) 2013-09-30 2017-04-12 株式会社フジミインコーポレーテッド Polishing composition
US9977097B1 (en) 2014-02-21 2018-05-22 Hrl Laboratories, Llc Micro-scale piezoelectric resonating magnetometer
US9991863B1 (en) 2014-04-08 2018-06-05 Hrl Laboratories, Llc Rounded and curved integrated tethers for quartz resonators
US10308505B1 (en) 2014-08-11 2019-06-04 Hrl Laboratories, Llc Method and apparatus for the monolithic encapsulation of a micro-scale inertial navigation sensor suite
CN117625325A (en) 2015-01-13 2024-03-01 Cmc材料股份有限公司 Cleaning composition and method for cleaning semiconductor wafers after chemical mechanical polishing
US10031191B1 (en) 2015-01-16 2018-07-24 Hrl Laboratories, Llc Piezoelectric magnetometer capable of sensing a magnetic field in multiple vectors
JP6638208B2 (en) 2015-04-02 2020-01-29 日立化成株式会社 Abrasive, storage solution for abrasive and polishing method
US10110198B1 (en) 2015-12-17 2018-10-23 Hrl Laboratories, Llc Integrated quartz MEMS tuning fork resonator/oscillator
US10175307B1 (en) 2016-01-15 2019-01-08 Hrl Laboratories, Llc FM demodulation system for quartz MEMS magnetometer
JP6726980B2 (en) * 2016-02-16 2020-07-22 グローブライド株式会社 Tubular laminated structure and golf club shaft exhibiting a novel appearance
JPWO2017163847A1 (en) 2016-03-25 2019-02-07 株式会社フジミインコーポレーテッド Polishing composition, polishing method, and method for manufacturing semiconductor substrate
CN109690741A (en) 2016-06-09 2019-04-26 日立化成株式会社 CMP lapping liquid and grinding method
KR102532672B1 (en) 2017-02-08 2023-05-12 가부시끼가이샤 레조낙 Polishing liquid and polishing method
WO2018168534A1 (en) 2017-03-14 2018-09-20 日立化成株式会社 Polishing agent, stock solution for polishing agent, and polishing method
KR102571098B1 (en) 2017-08-09 2023-08-24 가부시끼가이샤 레조낙 Polishing solution and polishing method
WO2019239555A1 (en) 2018-06-14 2019-12-19 日立化成株式会社 Polishing liquid and polishing method
WO2020245994A1 (en) 2019-06-06 2020-12-10 昭和電工マテリアルズ株式会社 Polishing solution and polishing method
JP7345966B2 (en) * 2019-06-24 2023-09-19 株式会社ディスコ How to reclaim wafers
WO2023007722A1 (en) 2021-07-30 2023-02-02 昭和電工マテリアルズ株式会社 Polishing liquid and polishing method

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2933437A (en) * 1956-05-29 1960-04-19 Bell Telephone Labor Inc Chemical lapping method
US3009841A (en) * 1959-03-06 1961-11-21 Westinghouse Electric Corp Preparation of semiconductor devices having uniform junctions
US3386864A (en) * 1963-12-09 1968-06-04 Ibm Semiconductor-metal-semiconductor structure
GB1353960A (en) * 1971-09-21 1974-05-22 Rolls Royce Method of etching a partially masked surface
JPS5064767A (en) * 1973-10-12 1975-06-02
US3930870A (en) * 1973-12-28 1976-01-06 International Business Machines Corporation Silicon polishing solution preparation
JPS5539902B2 (en) * 1973-12-29 1980-10-14
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
US4037306A (en) * 1975-10-02 1977-07-26 Motorola, Inc. Integrated circuit and method
US4057939A (en) * 1975-12-05 1977-11-15 International Business Machines Corporation Silicon wafer polishing
JPS54157079A (en) * 1978-06-01 1979-12-11 Nippon Telegr & Teleph Corp <Ntt> Crystal surface production method of non-disturbance mirror surface
JPS5534442A (en) * 1978-08-31 1980-03-11 Fujitsu Ltd Preparation of semiconductor device
JPS6043024B2 (en) * 1978-12-30 1985-09-26 富士通株式会社 Manufacturing method of semiconductor device
IE52971B1 (en) * 1979-07-23 1988-04-27 Fujitsu Ltd Method of manufacturing a semiconductor device wherein first and second layers are formed
US4305779A (en) * 1980-05-28 1981-12-15 The United States Of America As Represented By The United States Department Of Energy Method of polishing nickel-base alloys and stainless steels
US4475981A (en) * 1983-10-28 1984-10-09 Ampex Corporation Metal polishing composition and process
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
JPS61100950A (en) * 1984-10-22 1986-05-19 Nec Corp Manufacture of semiconductor element

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
「半導体プロセス材料実務便覧」(株)サイエンスフォーラム発行,昭和58−4−25,P.463〜466

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10017669B2 (en) 2014-03-11 2018-07-10 Shin-Etsu Chemical Co., Ltd. Polishing composition, polishing method, and method for producing polishing composition

Also Published As

Publication number Publication date
EP0223920A2 (en) 1987-06-03
CA1245517A (en) 1988-11-29
US4944836A (en) 1990-07-31
JPH0817831A (en) 1996-01-19
EP0223920A3 (en) 1987-09-23
JP2659918B2 (en) 1997-09-30
DE3676458D1 (en) 1991-02-07
EP0223920B1 (en) 1991-01-02
JPS62102543A (en) 1987-05-13

Similar Documents

Publication Publication Date Title
JPH0777218B2 (en) Method for forming metal layer and insulating layer on the same flat surface
JP6030703B2 (en) Use of CsOH in dielectric CMP slurry
US5954975A (en) Slurries for chemical mechanical polishing tungsten films
US5618381A (en) Multiple step method of chemical-mechanical polishing which minimizes dishing
US4956313A (en) Via-filling and planarization technique
US6103625A (en) Use of a polish stop layer in the formation of metal structures
US6365520B1 (en) Small particle size chemical mechanical polishing composition
US6017803A (en) Method to prevent dishing in chemical mechanical polishing
KR100508838B1 (en) Method of Manufacturing Semiconductor Apparatus and Solution for Polishing the Same
JP2003514061A5 (en)
US6429134B1 (en) Method of manufacturing semiconductor device
JP2005500687A (en) Formation of semiconductor structures using a combination of planarization and electropolishing.
JP3033574B1 (en) Polishing method
US6251789B1 (en) Selective slurries for the formation of conductive structures
US6350678B1 (en) Chemical-mechanical polishing of semiconductors
JP3524076B2 (en) Chemical mechanical polishing method
JPH0682660B2 (en) Method for forming a conductive stud
JPH09167768A (en) Fabrication of semiconductor device
JPH11243071A (en) Manufacture of semiconductor device
KR19980048378A (en) Planarization method of semiconductor device
US6699785B2 (en) Conductor abrasiveless chemical-mechanical polishing in integrated circuit interconnects
EP1350266B1 (en) Conductor chemical-mechanical polishing in integrated circuit interconnects
US20020173140A1 (en) Conductor chemical-mechanical polishing in integrated circuit interconnects
JP2001326198A (en) Polished composition for copper-based metal, polished composition for copper diffusion preventing material and manufacturing method of semiconductor device

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term