JPH0763125B2 - 周波数シンセサイザ - Google Patents

周波数シンセサイザ

Info

Publication number
JPH0763125B2
JPH0763125B2 JP5048591A JP4859193A JPH0763125B2 JP H0763125 B2 JPH0763125 B2 JP H0763125B2 JP 5048591 A JP5048591 A JP 5048591A JP 4859193 A JP4859193 A JP 4859193A JP H0763125 B2 JPH0763125 B2 JP H0763125B2
Authority
JP
Japan
Prior art keywords
output
counter
voltage
sawtooth wave
timing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP5048591A
Other languages
English (en)
Other versions
JPH06244639A (ja
Inventor
英夫 宮下
晋 瓜屋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP5048591A priority Critical patent/JPH0763125B2/ja
Priority to CA002115593A priority patent/CA2115593A1/en
Priority to AU55169/94A priority patent/AU671880B2/en
Priority to EP94301077A priority patent/EP0614158B1/en
Priority to US08/196,374 priority patent/US5459418A/en
Priority to DE69430552T priority patent/DE69430552T2/de
Publication of JPH06244639A publication Critical patent/JPH06244639A/ja
Publication of JPH0763125B2 publication Critical patent/JPH0763125B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/60Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers
    • G06F7/72Methods or arrangements for performing computations using a digital non-denominational number representation, i.e. number representation without radix; Computing devices using combinations of denominational and non-denominational quantity representations, e.g. using difunction pulse trains, STEELE computers, phase computers using residue arithmetic
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/022Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06JHYBRID COMPUTING ARRANGEMENTS
    • G06J1/00Hybrid computing arrangements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Software Systems (AREA)
  • Fuzzy Systems (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)
  • Pulse Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、周波数シンセサイザに
係り、特にPLLを用いないダイレクト周波数シンセサ
イザ(DDS:Direct Digital Synthsizer )に関す
る。
【0002】
【従来の技術】周知のように、周波数シンセサイザは各
種の技術分野で使用されているが、従来のダイレクト周
波数シンセサイザは、図15に示すように、希望する周
波数のサイン波形に対応するディジタル値をカウンタ10
1 と波形データテーブルたるROM102 によって発生さ
せ、ディジタル−アナログ変換器103 によって疑似サイ
ン波形を得るように構成されるのが一般的である(例え
ば、“US-PAT No.3735269 ”参照)。以下、概要を図1
6をも参照して説明する。
【0003】図15において、基準クロック発生回路10
0 が発生する基準クロックaはカウンタ101 に与えられ
る(図16(a))。
【0004】カウンタ101 は、出力範囲が0〜Mで、基
準クロックaの1パルスが入力する度に一定値Nを加算
して行くステップカウンタであると同時に、カウント値
Xが当該カウンタの最大値Mを超えると超過分{X−
(M+1)}を出力し、その超過分{X−(M+1)}
を初期値として次のカウント動作を継続する連続カウン
タである。
【0005】このカウンタ101 の出力カウント値はR0
M102 に読出アドレスαとして与えられる。これは、C
PUを用いずにROMのアドレス指定を行う場合に最も
一般的に採用される方法の一つである。
【0006】ROM102 には、サイン波形に対応する波
形データのテーブルが設定されており、アドレスαで指
定された波形データDαをディジタル−アナログ変換器
103に出力する。その結果、ディジタル−アナログ変換
器103 は、図16(b)に示すように階段状に変化する
電圧信号bを出力する。
【0007】この電圧信号bは、低域通過ろ波器(LP
F)104 にて高調波成分が除去されよりサイン波形に近
い滑らかな信号となり(図16(c))、次いで波形整
形回路105 にて矩形波信号dに整形され(図16
(d))、シンセサイザ出力が得られる。
【0008】なお、この従来のシンセサイザ(DDS)
の特性は次の通りである。カウンタ101 では、基準クロ
ックaが連続して入力すると、その出力(ROM102 の
アドレスα)は0〜Mの範囲内で連続的に変化するが、
クロックパルスがn個入力したときの出力αは、(M+
1)=Mとすると、数式1で表される。なお、式中の記
号[]はGauss 記号である。以下、同じ。
【0009】
【数1】α=M(nN/M−[nN/M])
【0010】ROM102 の出力データDαは、ディジタ
ル−アナログ変換器103 の分解能をE(例えば、8ビッ
トならE=256)とすると、数式2で表され、これに
数式1を代入すると数式3となる。
【0011】
【数2】Dα=[E(sin(πα/M)+1)/2]
【0012】
【数3】 Dα=[E(sin 2π(nN/M−[nN/M])+1)/2]
【0013】次に、ディジタル−アナログ変換器103 は
ディジタル値をアナログ値へ変換しているだけであるの
で、その出力V0 は、Dαに変換係数hを掛けたものと
なる(数式4)。
【0014】
【数4】 V0 =h[E(sin 2π(nN/M−[nN/M])+1)/2]
【0015】そして、基準クロックaの周期をTとする
と、n=[t/T]であるので、これを数式4に代入す
れば、時間tにおける出力V0 が得られる(数式5)。
【0016】
【数5】 V0 =h[E(sin 2π(N[t/T]/M −[N[t/T]/M])+1)/2]
【0017】また、基準クロックaの周波数fr は、f
r =1/Tであるので、出力周波数f0 は、数式6とな
る。
【0018】
【数6】f0 =1/(MT/N)=fr ・N/M
【0019】
【発明が解決しようとする課題】しかし、上述した従来
の周波数シンセサイザは、階段波形による疑似サイン波
形を発生させることにより希望の周波数を得る構成であ
るので、原理的に位相誤差が存在し、これは使用する回
路素子の全部が理想値であったとしても除去できないと
いう問題がある。
【0020】また、疑似サイン波形を発生させるには1
周期中に4点以上の再生点が必要であるので、基準クロ
ックの周波数fr は出力周波数f0 の最低4倍以上であ
ることが必要であり、これは消費電流を増大させ、また
精密化や小型化を困難にするという問題がある。
【0021】さらに、高次のLPFを必要とするのでコ
イル部品を必要とし、IC化が困難であるという問題も
ある。
【0022】本発明は、このような従来の問題に鑑みな
されたもので、その目的は、位相誤差を原理的に零に近
づけることができると共に、低消費電流でかつIC化に
好適な単純な回路構成を持つDDSたる周波数シンセサ
イザを提供することにある。
【0023】
【課題を解決するための手段】前記目的を達成するため
に、本発明の周波数シンセサイザは次の如き構成を有す
る。即ち、本発明の周波数シンセサイザは、時間と電圧
振幅が比例した鋸歯状波信号をタイミング信号に応答し
て発生する鋸歯状波信号発生回路と; 前記鋸歯状波信
号発生回路の出力を波形整形しシンセサイザ出力を行う
電圧比較器と; カウンタクロックが入力する度に一定
値を加算または減算するカウント動作を行うカウンタ
と; 前記カウンタの出力カウント値を電圧信号へ変換
し、それを前記鋸歯状波信号発生回路にバイアス電圧と
して出力する、または、前記電圧比較器に基準電圧とし
て出力するディジタル−アナログ変換器と; 少なくと
も基準クロックに基づき前記タイミング信号を出力する
タイミング回路と; を備えることを特徴とするもので
ある。
【0024】
【作用】次に、前記の如く構成される本発明の周波数シ
ンセサイザの作用を説明する。本発明では、鋸歯状波信
号を電圧比較器に入力して波形整形し方形波のシンセサ
イザ出力を得るが、その際にカウンタクロック(基準ク
ロックまたは基準クロックに逓降操作等を加えたクロッ
ク)が入力する度に一定値を加算または減算するカウン
タのカウント値をアナログ化したものにより、鋸歯状波
信号の立ち上がりまたは立ち下がりの開始電圧を操作
し、または、電圧比較器の基準電圧を操作し、鋸歯状波
信号が電圧比較器でスライスされるタイミングを任意に
作り出せるようにし、シンセサイザ出力が任意の位相で
得られるようにしてある。
【0025】従って、従来のDDSでは原理的に零にで
きなかった位相誤差を本発明では零にできる。また、基
準クロックは低速で動作させることができるので消費電
流を低減でき、疑似サイン波を発生するテーブルを持つ
必要がないのでLPFも不要となり簡単な構成とするこ
とができ、IC化に好適な周波数シンセサイザを提供で
きる。
【0026】
【実施例】以下、本発明の実施例を図面を参照して説明
する。本発明の周波数シンセサイザは、図1と図3に示
すように、基準クロック発生回路1、タイミング回路
2、鋸歯状波信号発生回路3、電圧比較器4、カウンタ
5及びディジタル−アナログ変換器(DAC)6で基本
的に構成される。なお、DAC6の出力cは、鋸歯状波
信号発生回路3にバイアス電圧として与えられる場合
(図1)と、電圧比較器4に基準電圧として与えられる
場合(図3)とがある。図2は図1の基本動作タイムチ
ャート、図4は図3の基本動作タイムチャートである。
【0027】まず、図1と図2を参照して本発明の周波
数シンセサイザの基本動作を説明する。基準クロック発
生回路1は、図2(a)のような基準クロックaを発生
するが、これはタイミング回路2とカウンタ5とに並列
出力される。
【0028】タイミング回路2は、例えば図2(b)に
示すように基準クロックaの各パルスの立下がり時点に
応答して生起するパルス列からなるタイミング信号bを
発生し、それを鋸歯状波信号発生回路3に与える。
【0029】なお、タイミング回路2は、基準クロック
aの各パルス毎に1つのタイミングパルスを発生するタ
イプの他、タイミングパルスが所々で欠落する歯抜けタ
イミング信号を発生するタイプ(図8)、あるいは、基
準クロックaの1周期の期間内で複数のタイミングパル
スを発生するタイプ等、種々の構成態様がある。図8の
タイミング回路については後述する。
【0030】また、カウンタ5は、例えば減算型のもの
で、カウンタクロックたる基準クロックaの1パルス毎
にその立上がり時点に応答して一定値Nを減算するカウ
ント動作を繰り返し、その各カウント値がDAC6にて
電圧値cに変換される。従って、減算動作過程における
DAC6の出力電圧値cは、図2(c)に示すように単
調に減少することとなる。このDAC6の出力電圧値c
が鋸歯状波信号発生回路3に与えられる。なおカウンタ
5の一構成例を図10〜同14に示してある。その説明
は後述する。
【0031】鋸歯状波信号発生回路3は、DAC6の出
力電圧値cをバイアス電圧とし、タイミング信号bの各
パルスに応答して立上がりと立下がりを繰り返す鋸歯状
波信号dを発生する(図2(d))。この鋸歯状波信号
発生回路3の一構成例を図5、図7に示してある。その
説明は後述する。
【0032】電圧比較器4は、入力した鋸歯状波信号d
と固定の基準電圧との振幅比較をし(図2(d))、鋸
歯状波信号cを波形整形してシンセサイザ出力たる方形
波信号eを出力する(図2(e))。
【0033】以上の説明からも明らかなように、鋸歯状
波信号cが電圧比較器4の基準電圧に達するタイミング
は、DAC6の出力電圧値cによって任意に作り出すこ
とができる、つまり方形波信号eの立上がり、または、
立下がりを任意の位相で発生させることができる。従っ
て、DAC6の出力電圧値cはカウンタ5の出力カウン
ト値であるので、連続した位相で発生させ得るようカウ
ンタ5のステップ幅Nを操作すれば、任意の周波数を発
生させることができる。
【0034】なお、図2の(f)(g)は周波数発生の
様子を分かり易く説明するためのものである。即ち、図
2の(f)は電圧比較器4の出力eを、(g)は基準ク
ロック発生回路1の出力aをそれぞれ1/2に分周しデ
ューティを1:1にした波形であるが、(g)よりも
(f)の方が周期が長く、従って電圧比較器4の出力e
に基準クロックaよりも低い周波数が発生していること
が解る。このことは、図2の(f)と図16の(d)と
を比較しても明らかである。
【0035】つまり、図1の構成において、発生する出
力周波数f0 と基準クロックaの周波数fr との関係
は、カウンタ5が、減算型の場合はfr >f0 となり、
加算型の場合はfr <f0 となる。
【0036】ここで、発生する出力周波数f0 は、基準
クロックaの周波数fr 、カウンタ5のステップ幅N、
カウンタ5の最大値M(M=M+1)を用いた数式7で
求められる。数式6と比べてfr とf0 の比(fr /f
0 )が大幅に小さくなることが解る。
【0037】
【数7】f0 =fr ・(1+N/M)
【0038】次に、図4は図3に示す本発明の周波数シ
ンセサイザの基本動作を示すが、カウンタ5が加算型
で、従ってDAC6の出力電圧値cは単調増加を示し、
この出力電圧値cが電圧比較器4に基準電圧として与え
られ、鋸歯状波信号発生回路3のバイアス電圧は一定と
し基準電圧を動かしている点が異なるのみで、基本的な
動作及び効果は以上説明したのと同様である。
【0039】なお、図3の構成において、発生する出力
周波数f0 と基準クロックaの周波数fr との関係は、
カウンタ5が、加算型の場合はfr >f0 となり、減算
型の場合はfr <f0 となる。
【0040】また、図2と図4は基本動作を説明するた
めにカウンタ5の動作波形は加算または減算の一部過程
を示すが、そのため鋸歯状波信号dを常に波形整形でき
るかの疑義が生ずるおそれがある。これは、カウンタ5
のM値を適宜値に設定することで、またバイアス電圧c
を基準に鋸歯状波信号dを発生させるようにすること
で、鋸歯状波信号dの振幅範囲内に波形整形するスライ
スレベル(基準電圧レベル)があるようにできる。
【0041】次いで、図5〜図14を参照して各構成要
素の具体例を説明する。まず、図5は、鋸歯状波信号発
生回路3の一構成例を示す。この鋸歯状波信号発生回路
3は図1の構成の周波数シンセサイザに用いるもので、
電源VCCとアース間に定電流源11と容量12とを直
列接続して容量12を定電流源11で充電するように
し、同時に両者の接続端をスイッチ13の一方の端子に
接続し、このスイッチ13の他方の端子にバイアス電圧
cを印加し、スイッチ13の開閉動作を鋸歯状波発生タ
イミング、即ちタイミング信号bにより行わせ、定電流
源11と容量12との接続端から例えば図2(d)に示
すようにバイアスが操作される所要の鋸歯状波信号dが
得られるようにしたものである。図6に動作の一例を示
してある。
【0042】スイッチ13が開成すると、容量12は定
電流源11から流れ込む電流Iにより充電され、電圧E
を発生する。ここに、スイッチ13の開成期間をt、容
量12の容量をCとすると、電圧Eは、E=I・t/C
となり、出力波形は、電流Iと容量Cが一定であるか
ら、電圧Eが時間tに比例する波形となる。
【0043】そこで、図6(b)のタイミング信号bが
スイッチ13に制御信号として印加され、スイッチ13
が、タイミングパルスのパルス幅の期間内閉成し各タイ
ミングパルスの間の期間内開成するとすれば、開成の期
間では時間と電圧振幅が比例した波形を発生する充電が
行われる。
【0044】一方、スイッチ13の他方の端子には図6
(c)に示すようなバイアス電圧cが印加されているの
で、スイッチ13の閉成の期間では容量12の電荷はバ
イアス電圧まで放電する。
【0045】従って、タイミング信号bの各タイミング
パルス毎に以上の動作が繰り返されると、図6(d)に
示すような鋸歯状波信号dが得られる。極めて簡単な構
成で鋸歯状波発生の基準レベルを変更できるのである。
【0046】なお、スイッチ13の他方の端子を直接接
地すれば、図3に示した周波数シンセサイザで用いる鋸
歯状波信号発生回路3となる。
【0047】また、定電流源11は、例えば図7に示す
ように電流可変型としても構成できる。図7において、
DAC21の出力電圧は外部指定により変更されるが、
このDAC21のある一定出力電圧をトランジスタ22
のベースに与えると、エミッタ抵抗25の端子間電圧は
一定値となるのでトランジスタ22のエミッタに流れる
電流が固定され、その結果トランジスタ22のコレクタ
から一定の電流が出力される。従って、外部指定を変え
れば、出力電流もそれに比例して変わる。
【0048】この電流可変型定電流源によれば、発生す
る鋸歯状波信号の波形の勾配を任意に変更設定でき、従
って、シンセサイザ出力の発生位相を任意に変更でき
る。
【0049】ところで、図5に示した鋸歯状波信号発生
回路では、各タイミングパルスの立上がり時点が放電開
始タイミングとなっている。そうすると、この鋸歯状波
信号発生回路を用いた場合、放電開始タイミングと鋸歯
状波信号の上昇波形が電圧比較器4の基準電圧(スライ
スレベル)に達するタイミングとが一致する場合や近傍
となる場合が生ずる。かかる場合に無条件に放電開始タ
イミングを与えると、出力が発生しないか、あるいは、
間違った出力を発生することになる。
【0050】この問題は、減算型カウンタを用い鋸歯状
波発生のバイアスを操作するタイプ(図2)と加算型カ
ウンタを用いてスライスレベルたる基準電圧を操作する
タイプ(図4)の周波数シンセサイザにおいて生ずる。
【0051】図8はこのような問題を解決するためのタ
イミング回路2の一構成例である。このタイミング回路
は、基準クロックaの他に電圧比較器4の出力eを用い
て鋸歯状波発生タイミング、即タイミング信号bを発生
するようにしたものである。図9は図2に示す動作をす
る周波数シンセサイザに適用した場合の当該シンセサイ
ザの動作タイムチャートである。
【0052】図8において、図9(a)に示すような基
準クロックaは、フリップフロップ31の逆相クロック
入力端とAND回路32の一方の入力端とに印加され
る。フリップフロップ31では、信号入力端と逆相出力
端とが接続され、正相出力端がAND回路32の他方の
入力端に接続される。AND回路32の出力端は、フリ
ップフロップ33の逆相リセット入力端とフリップフロ
ップ34の正相クロック入力端とAND回路35の一方
の入力端とインバータ36の入力端とに接続される。
【0053】このフリップフロップ31とAND回路3
2は、基準クロックaを分周してデューティーが1:3
で周波数が1/2のクロックを作り出す。このクロック
がインバータ36で反転されカウンタクロックとして出
力される(図9(a)′)。要するに、カウンタ5は、
基準クロックaで直接動作する場合と、基準クロックを
逓降等したクロックで動作する場合とがある。
【0054】電圧比較器4の出力eは例えば図9(e)
に示すような波形をしているが、これはフリップフロッ
プ33のセット入力端に印加される。フリップフロップ
33の出力端はフリップフロップ34の入力端に接続さ
れる。
【0055】このフリップフロップ33は、電圧比較器
4の出力eを一定期間保持するためのもので、電圧比較
器4のチャタリング等に対して有効である。従って、フ
リップフロップ33の出力端からは、図9(e)′に示
すように波形が少し広がったシンセサイザ出力が得られ
る。
【0056】フリップフロップ34の出力端はAND回
路35の他方の入力端に接続され、AND回路35の出
力端に鋸歯状波発生タイミング、即ちタイミング信号b
が得られる。
【0057】以上の構成において、フリップフロップ3
1とAND回路32とにより基準クロックaを逓降した
のは電圧比較器4の出力eを加味する都合からである
が、AND回路32の出力、つまり、カウンタクロック
a′の逆論理(図9(a)′)が本来のタイミング信号
であり、鋸歯状波信号は各タイミングパルスの間の期間
内で発生するのが原則である。そして、放電タイミング
はカウンタクロックa′の立下がり時点である。
【0058】つまり、減算型のカウンタを用いた図9の
例で言えば、カウンタは4段階に渡って減算し(c)、
従って、鋸歯状波の波形も4つ形成されるべきである。
【0059】しかし、鋸歯状波の最終波形のピークレベ
ルは基準電圧の近傍まで低下している。それ故、カウン
タの第1回目のカウント動作の期間において発生する鋸
歯状波(第1回目のもの)は最低レベルから基準電圧に
向かって上昇するが、図9の(a)′(d)から解るよ
うに、基準電圧の近傍まで上昇したときその上昇を終了
させピークレベルを形成する放電タイミング(a′の立
下がり時点)が到来する。
【0060】すると、電圧比較器4では、鋸歯状波信号
の振幅が基準電圧を越えたとき出力を“1”に立上げる
のであるから、基準電圧の近傍で放電タイミングを与え
ると、その直後に出力を“1”に立上げることになる
が、この動作が困難となり、前述したように、出力が発
生しないか、あるいは、間違った出力を発生することに
なる。
【0061】そこで、フリップフロップ34とAND回
路35により、そのような場合は放電開始タイミングを
間引いた形のタイミング信号bを生成し(図9
(b))、電圧比較を優先するようにしてある。
【0062】即ち、AND回路32の出力はカウンタク
ロックa′の逆論理であるが、フリップフロップ34は
このAND回路32の出力パルスの立上がりでフリップ
フロップ33の出力e′を取り込み、図9(b)′に示
すような出力b′をAND回路35に与える。
【0063】このとき、第1回目の鋸歯状波を形成する
過程では、上述したことから明白なように、電圧比較器
4の出力は常に“0”でありこれはa′の立下がり時点
まで継続する。
【0064】従って、この第1回目の鋸歯状波の波形が
上昇し基準電圧近傍に到達したときのa′の立下がり時
点では、AND回路35においてAND条件が成立せ
ず、そのa′のタイミングが抜けた形のタイミング信号
bが発生する(図9(b))。その結果、鋸歯状波信号
は歯抜けタイミング信号bに対応し図9(d)のように
なり、放電タイミングがスライスレベル近傍となる場合
には鋸歯状波の波形は立下がらずそのまま上昇するの
で、電圧比較器4は支障なく出力を“1”に立上げる動
作が行えることとなる。図9(e)は、このようにして
得られたシンセサイザ出力である。
【0065】なお、加算型カウンタを用い鋸歯状波発生
のバイアスを操作するタイプと減算型カウンタを用いて
スライスレベルたる基準電圧を操作するタイプの周波数
シンセサイザにおいては、タイミング回路は新たにタイ
ミングを追加する操作が必要となる場合がある。この操
作は位相の異なる2つの鋸歯状歯信号を用いて行うが、
その説明は省略する。要は使用する周波数シンセサイザ
にとって好適な鋸歯状信号が得られるようにその発生タ
イミングを規定できるタイミング信号を生成すればよい
のである。
【0066】次に、図10〜図14は、カウンタ5の一
構成例を示す。まず、図10は、一定値Nを加算するス
テップカウンタの一例である。図10において、N値発
生器41の出力は加算器42の一方の入力に与えられ、
加算器42の他方の入力はラッチ43の出力が与えられ
る。この加算器42の出力はラッチ44に与えられ、ラ
ッチ44の出力はラッチ43に与えられると共に、カウ
ンタ出力として図外へ出力される。そして、図外からの
カウンタクロックはラッチ43の逆相クロック入力端と
ラッチ44のクロック入力端とに与えられる。
【0067】以上の構成において、ラッチ43の出力が
“0”で、N値発生器41の出力がNのとき、加算器4
2の出力X0 は、X0 =0+N=Nであり、これがラッ
チ44に与えられる。ラッチ44はカウンタクロックの
立上がりでそれを取り込み、出力をNにする。つまり、
当該カウンタはNを出力する。
【0068】そして、ラッチ43は、その同じカウンタ
クロックの立下がりでラッチ44の出力Nを取り込み、
出力をNにする。従って、加算器42の出力X1 は、X
1 =N+N=2Nとなる。
【0069】カウンタクロックの立上がりと立下がりは
常に交互に生ずるので、当該カウンタの出力は、1クロ
ックパルス毎にNずつ増加して行く。従って、当該カウ
ンタの出力は、Xn+1 =Xn +Nとなる。
【0070】なお、図10は、N値が固定の場合である
が、図11に示すように、N値発生器41に代えてレジ
スタ51を設け、外部からN値を可変設定できるように
しても良い。N値を変えることで発生周波数を変えるこ
とができる。
【0071】次に、図12は、加算型の連続カウンタの
一例を示す。即ち、クロックの1パルスが入力する度に
一定値Nを加算し、そのカウント値Xが当該カウンタの
最大値Mを超過したとき超過分{X−(M+1)}を出
力すると共に、その超過分{X−(M+1)}を初期値
として次のカウント動作を継続する連続カウンタの一構
成例である。
【0072】図12において、このカウンタは、図10
に示したカウンタの加算器42とラッチ44との間に、
M値発生器61と比較器62と減算器63とスイッチ6
4とを追加したものである。
【0073】即ち、加算器42の出力は比較器62の一
方の入力と減算器63の一方の入力とスイッチ64の一
方の切替端子とに与えられ、M値発生器61の出力は比
較器62の他方の入力と減算器63の他方の入力とに与
えられる。そして、減算器63の出力はスイッチ64の
他方の切替端子に与えられ、比較器62の出力はスッチ
64に開閉制御信号として与えられ、スイッチ64の切
替出力がラッチ44に与えられる。
【0074】以上の構成において、比較器62は、加算
器42の出力Xn とM値発生器61の出力Mとを比較
し、Xn ≦Mならばスイッチ64に対し一方の切替端子
を選択させる。その結果、加算器42の出力がラッチ4
4に与えられ、図10に示したカウンタと同様の動作を
行う。
【0075】そして、比較器62の比較結果がXn >M
ならばスイッチ64に対し他方の切替端子を選択させ
る。その結果、減算器63の出力(Xn −M)がラッチ
44に与えられる。従って、Xn+1 =Xn −M+Nとな
る。
【0076】次に、図13は、減算型の連続カウンタの
一例を示す。即ち、クロックの1パルスが入力する度に
一定値Nを減算し、そのカウント値Xが値0を下回った
とき当該カウンタの最大値Mにカウント値Xを加えた値
{(M+1)+X}を出力すると共に、その{(M+
1)+X}を初期値として次のカウント動作を継続する
連続カウンタの一構成例である。
【0077】この図13に示すカウンタは、図12に示
すカウンタにおいて、0値発生器71を設けると共に、
加算器42に代えて減算器72とし、減算器63に代え
て加算器73としたものである。
【0078】即ち、減算器72の出力は比較器62の一
方の入力と加算器73の一方の入力とスイッチ64の一
方の切替端子とに与えられ、0値発生器71の出力は比
較器62の他方の入力に与えられ、M値発生器61の出
力は加算器73の他方の入力に与えられる。そして、加
算器73の出力はスイッチ64の他方の切替端子に与え
られ、比較器62の出力はスイッチ64に開閉制御信号
として与えられ、スイッチ64の切替出力がラッチ44
に与えられる。
【0079】このカウンタの動作は、比較器62が減算
器72の出力Xn と0値発生器71の出力とを比較し、
n <0ならスイッチ64に加算器73の出力Xn +M
を選択させ、Xn ≧0なら減算器72の出力Xn を選択
させる点を除けば、基本的には図12に示したカウンタ
と同様である。
【0080】なお、図14は図12に示すカウンタに対
するものであるが、図13においても同様に、M値発生
器61に代えてレジスタ81を設け、外部からM値を可
変設定できるようにしても良い。M値を変えることでス
ライスレベルと鋸歯状波信号の振幅範囲との関係を良好
にできる。
【0081】また、図12と図13に示すカウンタにお
いて、図11と同様にN値を変更できるようにしても良
いことは勿論である。
【0082】
【発明の効果】以上説明したように、本発明の周波数シ
ンセサイザでは、鋸歯状波信号を電圧比較器に入力して
波形整形し方形波のシンセサイザ出力を得るが、その際
にカウンタクロック(基準クロックまたは基準クロック
に逓降操作等を加えたクロック)が入力する度に一定値
を加算または減算するカウンタのカウント値をアナログ
化したものにより、鋸歯状波信号の立ち上がりまたは立
ち下がりの開始電圧を操作し、または、電圧比較器の基
準電圧を操作し、鋸歯状波信号が電圧比較器でスライス
されるタイミングを任意に作り出せるようにし、シンセ
サイザ出力が任意の位相で得られるようにしてあるの
で、従来のDDSでは原理的に零にできなかった位相誤
差を本発明では零にできる。また、基準クロックは低速
で動作させることができるので消費電流を低減でき、疑
似サイン波を発生するテーブルを持つ必要がないのでL
PFも不要となり簡単な構成とすることができ、IC化
に好適な周波数シンセサイザを提供できる効果がある。
【図面の簡単な説明】
【図1】本発明の一実施例に係る周波数シンセサイザの
構成ブロック図である。
【図2】図1に示す周波数シンセサイザの動作タイムチ
ャートである。
【図3】本発明の他の実施例に係る周波数シンセサイザ
の構成ブロック図である。
【図4】図3に示す周波数シンセサイザの動作タイムチ
ャートである。
【図5】本発明の周波数シンセサイザで用いる鋸歯状波
信号発生回路の回路図である。
【図6】図5に示す鋸歯状波信号発生回路の動作タイム
チャートである。
【図7】図5に示す鋸歯状波信号発生回路における定電
流源を可変型とした場合の回路である。
【図8】本発明の周波数シンセサイザで用いるタイミン
グ回路の回路図である。
【図9】図8に示すタイミング回路を用いたる周波数シ
ンセサイザの動作タイムチャートである。
【図10】本発明の周波数シンセサイザで用いるカウン
タの回路図である。
【図11】図10に示すカウンタにおいてN値を外部か
ら可変指定できるようにしたカウンタの回路図である。
【図12】本発明の周波数シンセサイザで用いるカウン
タの回路図である。
【図13】本発明の周波数シンセサイザで用いるカウン
タの回路図である。
【図14】図12に示すカウンタにおいてM値を外部か
ら可変指定できるようにしたカウンタの回路図である。
【図15】従来の周波数シンセサイザの構成ブロック図
である。
【図16】従来の周波数シンセサイザの動作タイムチャ
ートである。
【符号の説明】 1 基準クロック発生回路 2 タイミング回路 3 鋸歯状波信号発生回路 4 電圧比較器 5 カウンタ 6,21 ディジタル−アナログ変換器(DAC) 11 定電流源 12 容量(コンデンサ) 13,64 スイッチ 22 トランジスタ 23〜25 抵抗 31,33,34 フリップフロップ 32,35 AND回路 36 インバータ 41 N値発生器 42,73 加算器 43,44 ラッチ 51,81 レジスタ 61 M値発生器 62 比較器 63,72 減算器 71 0値発生器

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】 時間と電圧振幅が比例した鋸歯状波信号
    をタイミング信号に応答して発生する鋸歯状波信号発生
    回路と; 前記鋸歯状波信号発生回路の出力を波形整形
    しシンセサイザ出力を行う電圧比較器と; カウンタク
    ロックが入力する度に一定値を加算または減算するカウ
    ント動作を行うカウンタと; 前記カウンタの出力カウ
    ント値を電圧信号へ変換し、それを前記鋸歯状波信号発
    生回路にバイアス電圧として出力する、または、前記電
    圧比較器に基準電圧として出力するディジタル−アナロ
    グ変換器と; 少なくとも基準クロックに基づき前記タ
    イミング信号を出力するタイミング回路と; を備える
    ことを特徴とする周波数シンセサイザ。
JP5048591A 1993-02-15 1993-02-15 周波数シンセサイザ Expired - Fee Related JPH0763125B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP5048591A JPH0763125B2 (ja) 1993-02-15 1993-02-15 周波数シンセサイザ
CA002115593A CA2115593A1 (en) 1993-02-15 1994-02-14 Frequency synthesizer
AU55169/94A AU671880B2 (en) 1993-02-15 1994-02-15 Frequency synthesizer
EP94301077A EP0614158B1 (en) 1993-02-15 1994-02-15 Frequency synthesizer
US08/196,374 US5459418A (en) 1993-02-15 1994-02-15 Frequency synthesizer
DE69430552T DE69430552T2 (de) 1993-02-15 1994-02-15 Frequenzsynthesizer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5048591A JPH0763125B2 (ja) 1993-02-15 1993-02-15 周波数シンセサイザ

Publications (2)

Publication Number Publication Date
JPH06244639A JPH06244639A (ja) 1994-09-02
JPH0763125B2 true JPH0763125B2 (ja) 1995-07-05

Family

ID=12807652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5048591A Expired - Fee Related JPH0763125B2 (ja) 1993-02-15 1993-02-15 周波数シンセサイザ

Country Status (6)

Country Link
US (1) US5459418A (ja)
EP (1) EP0614158B1 (ja)
JP (1) JPH0763125B2 (ja)
AU (1) AU671880B2 (ja)
CA (1) CA2115593A1 (ja)
DE (1) DE69430552T2 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3470440B2 (ja) * 1995-02-28 2003-11-25 ソニー株式会社 ランプ信号生成方法、ランプ信号生成装置、液晶駆動装置及び液晶表示装置
JP3612417B2 (ja) * 1997-12-17 2005-01-19 日本電気株式会社 クロック信号制御回路
KR100572308B1 (ko) * 1998-12-21 2007-03-02 삼성전자주식회사 주파수 체배기_
US6434707B1 (en) 1999-06-07 2002-08-13 Motorola, Inc. Low phase jitter clock signal generation circuit
US9002488B2 (en) * 2010-02-22 2015-04-07 Cypress Semiconductor Corporation Clock synthesis systems, circuits and methods
CN104133409B (zh) * 2014-08-07 2016-08-17 电子科技大学 一种对称性可调的三角波合成装置
US9735787B2 (en) * 2015-03-18 2017-08-15 Analog Devices, Inc. Frequency synthesizer with dynamic phase and pulse-width control

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3735269A (en) * 1971-10-29 1973-05-22 Rockland Systems Corp Digital frequency synthesizer
US3882403A (en) * 1974-03-14 1975-05-06 Gen Dynamics Corp Digital frequency synthesizer
US4144579A (en) * 1977-07-25 1979-03-13 Rca Corporation Arithmetic synthesizer frequency generation with reduced phase jitter
FR2592244B1 (fr) * 1985-12-23 1994-05-13 Thomson Csf Synthetiseur numerique de frequences elevees a corrections aperiodiques optimalisant la purete spectrale.

Also Published As

Publication number Publication date
CA2115593A1 (en) 1994-08-16
DE69430552D1 (de) 2002-06-13
US5459418A (en) 1995-10-17
EP0614158A3 (en) 1995-11-08
JPH06244639A (ja) 1994-09-02
EP0614158A2 (en) 1994-09-07
EP0614158B1 (en) 2002-05-08
AU671880B2 (en) 1996-09-12
AU5516994A (en) 1994-08-18
DE69430552T2 (de) 2003-03-13

Similar Documents

Publication Publication Date Title
US5901176A (en) Delta-sigma pulse width modulator control circuit
US6998928B2 (en) Digital pulse width modulation
CN108445734A (zh) 时脉倍频、乘频及数字脉冲产生电路、时间数字转换器
JPS6143899B2 (ja)
US20080129263A1 (en) Mixed signal digital controller for switched mode power supplies
JPH0763125B2 (ja) 周波数シンセサイザ
US5410310A (en) Method and apparatus for extending the resolution of a sigma-delta type analog to digital converter
JPH04208716A (ja) ディジタル―アナログ変換回路
JPH05167450A (ja) アナログ・デジタル変換回路
CN113949249B (zh) 包括开关型输出级的电子装置、对应电路布置和方法
JPH0758892B2 (ja) ディジタルパルス幅変調回路
JP3417517B2 (ja) ダイレクト・デジタル・シンセサイザ
Chen A high-resolution digital PWM controller using capacitor integration
JPS6245217A (ja) パルス幅変調回路
JPH0653794A (ja) パルス幅変調回路
US20020184274A1 (en) Sinusoid synthesis
US6518801B1 (en) Alias suppression method for 1-bit precision direct digital synthesizer
JPS6352808B2 (ja)
RU2089044C1 (ru) Преобразователь кода в сигнал с временной модуляцией
CN116248119A (zh) Pwm转换模拟输出的数模转换电路、芯片及电子设备
Chen Design of a counter-based DPWM using RC charge
Chen et al. Design of a Cyclic Self-Triggered DPWM for Resolution Extension.
JPS62128213A (ja) D/a変換器
RU2023297C1 (ru) Аппроксиматор монотонных функций
JPH04336712A (ja) アナログ・デジタル変換回路

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees