JPH03148155A - 誘電体充填分離トレンチ形成方法 - Google Patents

誘電体充填分離トレンチ形成方法

Info

Publication number
JPH03148155A
JPH03148155A JP2244140A JP24414090A JPH03148155A JP H03148155 A JPH03148155 A JP H03148155A JP 2244140 A JP2244140 A JP 2244140A JP 24414090 A JP24414090 A JP 24414090A JP H03148155 A JPH03148155 A JP H03148155A
Authority
JP
Japan
Prior art keywords
trench
layer
dielectric
substrate
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2244140A
Other languages
English (en)
Other versions
JPH0779129B2 (ja
Inventor
Michael L Kerbaugh
マイケル・リン・カボーグ
Iii Charles W Koburger
チヤールズ・ウイリアム・カバシヤー、サード
Brian J Macheeney
ブライアン・ジヨン・マケスニイー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH03148155A publication Critical patent/JPH03148155A/ja
Publication of JPH0779129B2 publication Critical patent/JPH0779129B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A、産業上の利用分野 本発明は、一般に半導体デバイスの製造に関するもので
ある。詳細には、本発明は、半導体基板、特にシリコン
・ウェーハの表面上に、平坦化した広い充填したトレン
チを形成する方法に関するものである。トレンチは、特
に誘電材料を充填したものであるが、本発明では、導電
性材料を充填したトレンチの形成にも使用することがで
きる。
B、従来の技術とその課題 大規模集積回路技術では、動作電圧および電流、ならび
にディジタル信号をデバイス間に分配するために、多数
の表面導体を必要とする。表面導体は、表面導体をそ、
の上に形成した半導体基板から絶縁されているが、絶縁
された導体と基板の間に絶縁材料を介しである量の容量
結合が生じる。この容量結合が、表面導体によって搬送
される信号を劣化させる。
この容量結合は、導体と基板とを分離している誘電材料
の厚さを増加することによって少なくす5− ることができるが、誘電材料を基板の表面上に追加する
よりも、誘電材料を基板の表面より低く凹ませて、基板
の平坦性を保つ方が望ましい。これは、基板の表面に浅
いトレンチを形成し、このトレンチを誘電材料、通常は
二酸化シリコンで充填することによって行なう。これら
のトレンチは、狭いトレンチ(輻約1μm未満、通常は
約0.5μm)でも、広いトレンチ(約1μmより広い
もの)でもよい。
誘電体で充填した狭いトレンチを形成する場合は、平坦
性を保つことは比較的簡単である。しかし、広いトレン
チの場合は、広いトレンチに誘電体、特に二酸化シリコ
ンを付着させる際はコンフォーマルに付着する性質があ
るため、半導体基板と誘電材料との平坦性を保つのに問
題がある。
誘電体で充填した広い平坦化したトレンチを形成する際
の問題を解決するために、従来技術では幾つかの提案が
行なわれている。このような提案の1つは、米国特許第
4385975号明細書に記載され、平坦化の前に、ト
レンチに含まれる誘6 電材料の上に、マスクを介してフォトレジスト材料を付
着させる工程を使用している。次に、下層の材料をフォ
トレジストでマスクしてエツチングから保護して、誘電
材料を反応性イオン・エッチング(RIE)L、比較的
平坦な構造を得る。米国特許第4671970号明細書
も、誘電材料の反応性イオン・エッチングのマスクとし
て、フォトレジストを使用している。これらのマスキン
グの教示は、ある程度有効であるが、いくつかの欠点が
ある。それらの方法は、余分にマスキングとフォトリソ
グラフィ工程を必要とし、処理工程が2つ増すが、より
重要なのは、位置合せの問題が生じることである。すな
わち、必要な誘電材料をマスキングして、残った部分を
露出するのに使用する付着した誘電材料のコンフォーマ
ルなトラフ部分中に、フォトレジストを正確に付着させ
るために、マスクを完全に位置合せしなければならない
米国特許第4278987号明細書には、トレンチを、
誘電材料でなく、ベースの基板とは性質の異なる半導体
材料で充填する、幾分具なる方法が開示されている。こ
の方法もマスキング工程を使用する。
米国特許出願第189863号明細書には、誘電体で充
填した広いトレンチを平坦化するための他の方法を開示
している。
C6課題を解決するための手段 本発明の主目的の1つは、半導体基板中に誘電体で充填
した広いトレンチを形成する、自己整合性で、良好な平
坦性をもたらす、改良された方法を提供することにある
本発明によれば、半導体基板の表面上に広く浅い充填し
たトレンチ、とくに誘電体で充填したトレンチが得られ
る。この方法では、半導体基板の表面上に広く浅いトレ
ンチを形成した後、トレンチを含む基板の表面上に、誘
電材料の層をコンフォーマルに付着させる。誘電材料層
の上に、耐エツチング性材料の層を付着させる。次に、
トレンチの幅より外側の耐エツチング性材料の部分を、
好ましくは化学・機械的研磨により選択的に除去すると
、耐エツチング性材料の残った部分がトレンチの幅の内
側に残る。この後、耐エツチング性材料で覆われていな
い誘電材料層をトレンチの上面までエツチングして、ト
レンチの上に誘電体のプラグを形成する。最後に、好ま
しくは研磨により、誘電体プラグを除去すると、上面が
基板の上面に対して実質的に平坦な、誘電体で充填した
トレンチが得られる。本発明はまた、トレンチを導電性
材料で充填し、平坦化するのにも使用できる。
D、実施例 第1図には、窒化シリコン(Si3N4)のエッチ・ス
トップ・コーティング12を付着させたシリコン半導体
基板10を示す。窒化シリコンの厚みは通常約1000
人で、たとえば400ミリトル、770°Cで、5iH
2C立2+NH3による化学蒸着(CVD)等の従来の
方法により付着させることができる。次に、従来のフォ
トレジスト法により、窒化シリコンにパターンを形成し
、広いトレンチおよび狭いトレンチが形成される下の開
口を露出させる。第2図に、このようにして基板9− 10中に形成した2つのトレンチ14.16を示すが、
通常はこのようなトレンチが多数形成されることを理解
されたい。トレンチ14は広いトレンチで、通常は幅が
約1μmより広<、トレンチ16は狭いトレンチで、幅
が1μmより狭く、通常は約0.5μmである。これら
は、周知の方法により、たとえば、10ミリトル、0.
1〜0゜2W/cm2で、NF3+Arのプラズマ中で
、従来の反応性イオン・エッチングにより形成される。
他の周知の方法も、トレンチ14.18の形成に使用で
きる。
上述のように、本発明は、特に、誘電体で充填した広い
トレンチの形成に有用であるが、第1図ないし第6図は
、本発明がどのようにして広いトレンチだけでなく、狭
いトレンチを含む基板にも使用できるかを示している。
第3図に示すように、二酸化シリコン(SiCh)の層
18を、広いトレンチ14と狭いトレンチ16の両方を
含む基板の表面上に形成する。SiO□層は、通常65
0ミリトル、715℃で、テトラ10− エチルオルトシラン(TEOS)中での蒸着を含む周知
のCVD法を用いて付着する。もちろん、他の方法も使
用できる。CVDによって形成するS i 02層の厚
さは、トレンチの深さの合計とほぼ等しくして、トレン
チ14中の5i02層の上面が、シリコン基板の上層と
ほぼ同じ高さとなるようにする。これは、デバイスを形
成するのに使用する基板の種類や、基板上に形成する導
体の種類によっても異なるが、通常、厚さ約eooo人
である。
二酸化シリコン層18の上面に、厚さが通常的100O
Aの、多結晶シリコンの層20を形成する。この多結晶
シリコンは、従来のどの方法で付着させてもよいが、好
ましい方法は、400ミリトル、620℃で、SiH4
+H2中で行なうCvDである。得られた構造を第3図
に示す。次に、この構造を化学・機械的研磨にかけて、
多結晶シリコン20の、トレンチ14の境界の外側の部
分だけを除去する。好ましい研磨方法では、平滑な円形
ホルダに基板を取り付けて、多結晶シリコン20の露出
した表面を、回転するポリウレタン・パッドに接触させ
た状態に保つ。パッドは、研磨材を塩基性水溶液に懸濁
させたスラリ、たとえば5i02と酸化アルミニウム(
Au20a)と水酸化テトラメチルアンモニウム(TM
AH)のスラリで濡らす。ポリウレタン・パッドが、露
出した多結晶シリコンの表面に接触して回転すると、化
学薬品が多結晶シリコン20の最外部の面と反応して、
その下の多結晶シリコンから、その部分がゆるむ。次に
、5i02の機械的作用または研磨作用により、接触し
た表面のゆるんだ部分が除去される。このような化学・
機械的研磨は周知である。これは、外面で化学薬品との
反応が連続的に発生してこの層がゆるみ、多結晶シリコ
ンのゆるんだ部分が5i02の研磨作用によって除去さ
れるので、連続工程である。
化学・機械的研磨は、第4図に示すように、トレンチ1
4の境界の外側にある多結晶シリコンがすべて除去され
るまで続く。同時に、実際にすべての多結晶シリコンが
誘電体18の表面から除去され、狭いトレンチ16を充
填する。しかし、これは、トレンチ16が狭いため問題
にならず、またコンフォーマル性はその上の小さいくぼ
みによって示されるように顕著ではない。
次に、第4図に示す材料を反応性イオン・エッチング(
RIE)により異方性エツチングを行なう。通常、これ
は0.1〜0.2W/cm240ミリトルで、CHF 
3+CO2の雰囲気中で行なう。このRIEは、SiO
3材料18とは反応するが、多結晶シリコン材料20と
は反応しない。
したがって、多結晶シリコン材料20によってマスキン
グされていない5i02材料は除去され、窒化シリコン
層12は、周知のようにエッチ・ストップとして機能す
る。これにより、第5図に示す構造が得られる。この構
造は、広いトレンチ14の両側および狭いトレンチ16
の両側で、下層の窒化シリコンが露出している。また、
狭いトレンチ16については、トレンチ16中の二酸化
シリコンの上に多結晶シリコン材料がないため、エッチ
はトレンチ16の上のSiO2とも反応して、3− 誘電材料18の表面を窒化シリコン12に対して平坦化
させることにも留意されたい。しかし、広いトレンチ1
4の上の材料については、多結晶シリコン20の下のS
iO2材料からなる材料のプラグが残る。この材料のプ
ラグは、平坦化した窒化シリコン12の高さよりかなり
上に延び、こうしてこれらの材料のプラグがあるトレン
チの上を除いて、基板全体の上の表面を実質的に平坦化
させる。
次に、第5図に示すウェーハ全体を再び塩基性水溶液に
懸濁したシリカ・スラリを使用して、化学・機械的に研
磨し、回転するポリウレタンのディスクに接触して研磨
する。この研磨工程により、広いトレンチ14の上の材
料のプラグが除去され、第6図に示す最終構造が得られ
る。窒化シリコン12は、研磨の終点として機能し、二
酸化シリコンで充填した広いトレンチと狭いトレンチを
含むウェーハの表面全体が実質的に平坦化され、したが
って、表面上に導体のパターンを形成する等の、後の加
工ができるようになる。
14− この工程には、いくつかの変更が可能である。
たとえば、多結晶シリコンの薄い(50層m)層を窒化
シリコン層12の上に付着させることができる。これに
より、SiO2のRIEの選択性が改善され、窒化シリ
コンのエッチ・ストップの均一性を損なうことなく、S
iO2の完全な除去が可能になる。また、多結晶シリコ
ン層20の付着前に、8102層18の上に、窒化シリ
コンの薄い(50層m)層を付着させることもできる。
これにより、トレンチ18の上に残った多結晶シリコン
層20の最初の化学・機械的研磨の間に、S i 02
層が還元されることが防止される。
同様の工程を使用して、トレンチを誘電材料ではなく、
導電性材料で充填して平坦化した表面を形成することも
考えられる。この場合は、マスキング材料、エッチャン
ト、およびエツチング条件を、必要な選択性が得られる
ように選択する。
本発明の幾つかの実施例を示し、これらについて説明を
行なったが、特許請求の範囲に定義する本発明の範囲か
ら逸脱することなく、各種の変更を加えることができる
E0発明の効果 本発明により、半導体基板中に誘電体で充填した広いト
レンチを形成する、自己整合性で、良好な平坦性をもた
らす、改良された方法が提供される。
【図面の簡単な説明】
第1図ないし第6図は、本発明により形成し、誘電材料
で充填し、平坦化した、半導体の加工の連続する段階を
示す、幾分模式的な断面図である。 10・・・・シリコン半導体基板、12・・・・窒化シ
リコン・エッチ・ストップ、14.16・・・・トレン
チ、18・・・・二酸化シリコン層、20・・・・多結
晶シリコン層。

Claims (15)

    【特許請求の範囲】
  1. (1)半導体基板の表面に誘電体で充填した広い分離ト
    レンチを形成する方法において、 基板の表面に広いトレンチを形成するステップと、 上記トレンチを含む基板の表面に誘電材料の層をコンフ
    ォーマルに形成するステップと、 上記誘電材料層の上に、耐エッチング性材料の層をコン
    フォーマルに形成するステップと、上記耐エッチング性
    材料の一部分を選択的に除去して、残りの部分を上記ト
    レンチの幅の内側に残すステップと、 上記誘電材料層を上記トレンチの上部までエッチングし
    て、上記トレンチより上に誘電性プラグを形成するステ
    ップと、 上記誘電性プラグを除去して、上記基板の上面に対して
    ほぼ平坦な上面を有する、誘電体で充填したトレンチを
    得るステップと を含む上記の方法。
  2. (2)上記誘電材料が広いトレンチそれぞれの上にくぼ
    みを形成することを特徴とする、請求項(1)に記載の
    方法。
  3. (3)上記耐エッチング性材料をエッチ・マスクとして
    使用する反応性イオン・エッチングにより、上記誘電材
    料を除去することを特徴とする、請求項(1)に記載の
    方法。
  4. (4)上記耐エッチング性材料が多結晶シリコンである
    ことを特徴とする、請求項(3)に記載の方法。
  5. (5)多結晶シリコンを、化学・機械的研磨により選択
    的に除去することを特徴とする、請求項(4)に記載の
    方法。
  6. (6)多結晶シリコンの化学・機械的研磨を、塩基性水
    溶液に懸濁した研磨材のスラリを用いて行なうことを特
    徴とする、請求項(5)に記載の方法。
  7. (7)上記プラグ材料を、化学・機械的研磨により除去
    することを特徴とする、請求項(1)に記載の方法。
  8. (8)上記半導体表面の、少なくとも上記の広いトレン
    チに隣接する領域に、エッチ・ストップ材料の層を設け
    ることを特徴とする、請求項(3)に記載の方法。
  9. (9)上記誘電材料が二酸化シリコンであることを特徴
    とする、請求項(3)に記載の方法。
  10. (10)上記エッチ・ストップ材料が窒化シリコンであ
    ることを特徴とする、請求項(8)に記載の方法。
  11. (11)上記窒化シリコンの上に多結晶シリコンの層を
    形成して、二酸化シリコン除去のためのエッチ・ストッ
    プとすることを特徴とする、請求項(10)に記載の方
    法。
  12. (12)誘電材料の上に窒化シリコンの層を形成するこ
    とを特徴とする、請求項(3)に記載の方法。
  13. (13)基板の表面に充填した広い分離トレンチを形成
    する方法において、 基板の表面に広いトレンチを形成するステップと、 上記トレンチを含む基板の表面に充填材料の層をコンフ
    ォーマルに形成するステップと、 上記充填材料の層の上に、耐エッチング性材料の層をコ
    ンフォーマルに形成するステップと、上記耐エッチング
    性材料の一部分を選択的に除去して、残りの部分を上記
    トレンチの幅の内側に残すステップと、 上記充填材料の層を上記トレンチの上部までエッチング
    して、上記トレンチより上にプラグを形成するステップ
    と、 上記プラグを除去して、上記基板の上面に対してほぼ平
    坦な上面を有する充填トレンチを得るステップと を含む上記の方法。
  14. (14)上記充填材料が導電性材料であることを特徴と
    する、請求項(13)に記載の方法。
  15. (15)上記充填材料が誘電材料であることを特徴とす
    る、請求項(13)に記載の方法。
JP2244140A 1989-10-25 1990-09-17 誘電体充填分離トレンチ形成方法 Expired - Fee Related JPH0779129B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US42715389A 1989-10-25 1989-10-25
US427153 1989-10-25

Publications (2)

Publication Number Publication Date
JPH03148155A true JPH03148155A (ja) 1991-06-24
JPH0779129B2 JPH0779129B2 (ja) 1995-08-23

Family

ID=23693699

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2244140A Expired - Fee Related JPH0779129B2 (ja) 1989-10-25 1990-09-17 誘電体充填分離トレンチ形成方法

Country Status (3)

Country Link
EP (1) EP0424608B1 (ja)
JP (1) JPH0779129B2 (ja)
DE (1) DE69004932T2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645432A (ja) * 1992-04-16 1994-02-18 Micron Technol Inc 基板分離トレンチを形成するための半導体処理方法
JPH0870039A (ja) * 1994-08-29 1996-03-12 Nec Corp 半導体装置の製造方法
DE19843160B4 (de) * 1998-02-13 2005-05-12 Mitsubishi Denki K.K. Halbleitervorrichtung mit Grabentrennung und Verfahren zu dessen Herstellung mittels Vorplanarisierung
JP4588147B2 (ja) * 1998-12-18 2010-11-24 イーストマン コダック カンパニー 平坦化された表面構造を製造する方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
JP2874486B2 (ja) * 1991-11-29 1999-03-24 ソニー株式会社 ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
KR100252692B1 (ko) * 1991-11-29 2000-04-15 이데이 노부유끼 폴리쉬공정을 구비한 트렌치아이솔레이션의 형성방법 및 반도체장치의 제조방법
EP0545263B1 (en) * 1991-11-29 2002-06-19 Sony Corporation Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US5382541A (en) * 1992-08-26 1995-01-17 Harris Corporation Method for forming recessed oxide isolation containing deep and shallow trenches
EP0597603A3 (en) * 1992-11-13 1998-03-11 Digital Equipment Corporation Trench isolation planarization using a hard mask
FR2717307B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procede d'isolement de zones actives d'un substrat semi-conducteur par tranchees peu profondes quasi planes, et dispositif correspondant
KR100329061B1 (ko) * 1994-03-15 2002-11-13 내셔널 세미콘덕터 코포레이션 평면화된트렌치및전계산화물분리방법
KR100361761B1 (ko) * 1995-06-02 2003-02-05 주식회사 하이닉스반도체 반도체소자의소자분리절연막형성방법
EP0853335A3 (en) * 1997-01-10 1999-01-07 Texas Instruments Incorporated Slurry and process for the mechano-chemical polishing of semiconductor devices
EP0855739A1 (en) * 1997-01-24 1998-07-29 Texas Instruments Inc. Tapered dielectric etch process for moat etchback
US5804490A (en) * 1997-04-14 1998-09-08 International Business Machines Corporation Method of filling shallow trenches

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5976442A (ja) * 1982-10-26 1984-05-01 Toshiba Corp 半導体装置の製造方法
JPS59136943A (ja) * 1983-01-27 1984-08-06 Nec Corp 半導体装置の素子分離方法
JPS59141243A (ja) * 1983-02-02 1984-08-13 Mitsubishi Electric Corp 素子間分離の形成方法
JPS59217339A (ja) * 1983-05-26 1984-12-07 Toshiba Corp 半導体装置の製造方法
JPS6039835A (ja) * 1983-08-12 1985-03-01 Hitachi Ltd 基板表面の平坦化方法
JPS6217861A (ja) * 1985-07-17 1987-01-26 Hitachi Ltd 文書作成装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4671970A (en) * 1986-02-05 1987-06-09 Ncr Corporation Trench filling and planarization process
FR2599892B1 (fr) * 1986-06-10 1988-08-26 Schiltz Andre Procede d'aplanissement d'un substrat semiconducteur revetu d'une couche dielectrique
NL8701717A (nl) * 1987-07-21 1989-02-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met een geplanariseerde opbouw.
US4962064A (en) * 1988-05-12 1990-10-09 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5976442A (ja) * 1982-10-26 1984-05-01 Toshiba Corp 半導体装置の製造方法
JPS59136943A (ja) * 1983-01-27 1984-08-06 Nec Corp 半導体装置の素子分離方法
JPS59141243A (ja) * 1983-02-02 1984-08-13 Mitsubishi Electric Corp 素子間分離の形成方法
JPS59217339A (ja) * 1983-05-26 1984-12-07 Toshiba Corp 半導体装置の製造方法
JPS6039835A (ja) * 1983-08-12 1985-03-01 Hitachi Ltd 基板表面の平坦化方法
JPS6217861A (ja) * 1985-07-17 1987-01-26 Hitachi Ltd 文書作成装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645432A (ja) * 1992-04-16 1994-02-18 Micron Technol Inc 基板分離トレンチを形成するための半導体処理方法
JPH0870039A (ja) * 1994-08-29 1996-03-12 Nec Corp 半導体装置の製造方法
DE19843160B4 (de) * 1998-02-13 2005-05-12 Mitsubishi Denki K.K. Halbleitervorrichtung mit Grabentrennung und Verfahren zu dessen Herstellung mittels Vorplanarisierung
JP4588147B2 (ja) * 1998-12-18 2010-11-24 イーストマン コダック カンパニー 平坦化された表面構造を製造する方法

Also Published As

Publication number Publication date
JPH0779129B2 (ja) 1995-08-23
DE69004932T2 (de) 1994-05-19
DE69004932D1 (de) 1994-01-13
EP0424608B1 (en) 1993-12-01
EP0424608A1 (en) 1991-05-02

Similar Documents

Publication Publication Date Title
US5173439A (en) Forming wide dielectric-filled isolation trenches in semi-conductors
JP3494275B2 (ja) 絶縁層内に導電性プラグを形成する方法および酸化物材料の化学的・機械的プレーナリゼーション方法
US5728621A (en) Method for shallow trench isolation
EP0545263B1 (en) Method of forming trench isolation having polishing step and method of manufacturing semiconductor device
US5539240A (en) Planarized semiconductor structure with subminimum features
US5302233A (en) Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5817567A (en) Shallow trench isolation method
JP2874486B2 (ja) ポリッシュ工程を備えたトレンチアイソレーションの形成方法及び半導体装置の製造方法
US6696759B2 (en) Semiconductor device with diamond-like carbon layer as a polish-stop layer
JPH03148155A (ja) 誘電体充填分離トレンチ形成方法
JPH01290236A (ja) 幅の広いトレンチを平坦化する方法
JPH0650759B2 (ja) 高度に平面化された集積回路構造を作るための方法
JPH10173043A (ja) 半導体素子のコンタクトプラグ形成方法
US7041547B2 (en) Methods of forming polished material and methods of forming isolation regions
US20050170661A1 (en) Method of forming a trench structure
US6352928B2 (en) Method of forming trench isolation
EP0878836B1 (en) Planarising a semiconductor substrate
JP3257511B2 (ja) ポリッシュ工程を備えた半導体装置の製造方法
KR100252692B1 (ko) 폴리쉬공정을 구비한 트렌치아이솔레이션의 형성방법 및 반도체장치의 제조방법
JP2000500920A (ja) Cmpを用いた集積回路内の多層メタライゼーション構造の平坦化の効率的かつ経済的な方法
US6211067B1 (en) Method for manufacturing metal plug
US6613648B1 (en) Shallow trench isolation using TEOS cap and polysilicon pullback
JP2917917B2 (ja) 半導体装置の製造方法
JPH11145285A (ja) 配線形成方法
KR19990039741A (ko) 반도체장치에 있어서 평탄화 방법

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090823

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090823

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100823

Year of fee payment: 15

LAPS Cancellation because of no payment of annual fees