JP7362780B2 - V-nandワード線スタック用ライナ - Google Patents

V-nandワード線スタック用ライナ Download PDF

Info

Publication number
JP7362780B2
JP7362780B2 JP2021568856A JP2021568856A JP7362780B2 JP 7362780 B2 JP7362780 B2 JP 7362780B2 JP 2021568856 A JP2021568856 A JP 2021568856A JP 2021568856 A JP2021568856 A JP 2021568856A JP 7362780 B2 JP7362780 B2 JP 7362780B2
Authority
JP
Japan
Prior art keywords
layer
nitride
tungsten
titanium
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021568856A
Other languages
English (en)
Other versions
JP2022533201A (ja
Inventor
ジャックリーン エス. レンチ,
イーシオン ヤン,
ヨン ウー,
ウェイ ヴィー. タン,
シュリーニヴァース ガンディコッタ,
ヨンジン リン,
ラモス, カルラ エム. ベルナル
シー チャン チェン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022533201A publication Critical patent/JP2022533201A/ja
Application granted granted Critical
Publication of JP7362780B2 publication Critical patent/JP7362780B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/42Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by the composition of the alternating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Composite Materials (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

[0001]本開示の実施形態は、電子デバイス、ならびに電子デバイスを製造するための方法及び装置の分野に関する。より具体的には、本開示の実施形態は、スタック内に金属窒化物膜とα-タングステン層とを有する垂直NANDメモリデバイスを提供するものである。
[0002]半導体技術は急速に進歩しており、単位面積当たりの処理や記憶の高速化を提供するために、技術の進歩に伴いデバイスの寸法が縮小している。半導体技術が進歩するにつれ、市場では、単位面積当たりにより多くの構造を有する、より小さなチップが求められている。その中でも特に小型化が進んでいるデバイスはメモリデバイスである。高密度化への要求が高まる中、3D NANDデバイスでは、より多くの層を重ねることが一般的なアプローチとなっている。しかし、層を増やすとスタックの厚さが増し、アスペクト比の増加によりエッチングが益々困難になる。
[0003]現在、3D NANDデバイスのライナとして、窒化チタン(TiN)が使用されている。しかし、Fバリアの性能を維持するためには、窒化チタンのライナの厚さを少なくとも25Åにする必要がある。このような厚いライナは、メモリ構造がより高密度化及び複雑化するにつれ、タングステンを充填するのに利用可能な空間を狭め、スタックの抵抗率に悪影響を及ぼす。
[0004]したがって、良好なバリア性能を提供しながら、スタックの抵抗率を低減することが求められる。
[0005]本開示の実施形態は、シリコン材料と金属ゲートの複数の交互層であって、金属ゲートが、共形バリア層、共形α-タングステン(W)層、及びバルクタングステン層を含む、シリコン材料と金属ゲートの複数の交互層と、複数の交互層を通して形成されたメモリホールチャネルであって、メモリホールチャネルの第1の面、第2の面、第3の面に堆積されたポリシリコン材料の共形層を有するメモリホールチャネルとを備えるメモリ構造を対象とする。
[0006]本開示の実施形態は、メモリ構造を形成する方法を対象とする。1又は複数の実施形態では、メモリ構造を形成する方法は、窒化物材料と酸化物材料の複数の交互層を堆積させることと、交互層の第1の露出面及び第2の露出面を形成するために、複数の交互層を通して、幅を有するメモリホールをエッチングすることと、ポリシリコンの共形層をメモリホール内に堆積させることと、開口部を形成し、酸化物材料を露出させるために、窒化物材料を除去することと、開口部内に高誘電率層を共形的に堆積させることと、開口部内の高誘電率層上にTiXN又はTaXNを含むバリア層を共形的に堆積させることと、バリア層上にα-タングステン(W)層を共形的に堆積させることと、α-タングステン(W)層上に金属材料を堆積させることと、オプションでメモリ構造を平坦化することとを含む。
[0007]1又は複数の実施形態では、処理ツールは、ウエハを移動させるように構成されたロボットを含む中央移送ステーションと、複数のプロセスステーションであって、各プロセスステーションが、中央移送ステーションに接続され、隣接するプロセスステーションの処理領域から分離された処理領域を提供し、複数のプロセスステーションは、バリア層堆積チャンバ及びα-タングステン(W)堆積チャンバを含む、複数のプロセスステーションと、中央移送ステーション及び複数のプロセスステーションに接続されたコントローラであって、プロセスステーション間でウエハを移動させるためにロボットを作動させ、各プロセスステーションで行われるプロセスを制御するように構成されたコントローラとを備える。
[0008]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は本開示の典型的な実施形態を単に示すものであり、したがって、本開示の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。本明細書に記載の実施形態は、例として示すものであり、同様の参照が類似の要素を示す添付の図面の図に限定されるものではない。
本明細書に記載の1又は複数の実施形態に係るメモリデバイスの製造方法を示すプロセスフロー図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す拡大断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す拡大断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す拡大断面図である。 本明細書に記載の1又は複数の実施形態に係るメモリデバイスを示す拡大断面図である。 1又は複数の実施形態に係るクラスタツールを示す図である。
[0019]本開示の幾つかの例示的な実施形態を説明する前に、本開示は、以下の説明に記載される構築又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実行又は実施することができる。
[0020]本明細書及び添付の特許請求の範囲で使用する用語「前駆体」、「反応物」、「反応性ガス」等は、基板表面と反応し得る任意のガス種を指すために互換的に使用される。
[0021]本明細書で使用する「基板」とは、製造プロセスで膜処理が実行される任意の基板又は基板に形成された材料表面を指す。例えば、処理が実行され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア等の材料、及び金属、金属窒化物、金属合金、及び他の導電性材料等のその他任意の材料が含まれる。基板には、半導体ウエハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール及び/又は焼成する前処理プロセスに暴露され得る。本開示では、基板自体の表面への直接の処理に加えて、開示される膜処理ステップのいずれかが、以下に詳細に開示するように、基板に形成された下層にも実行され得、用語「基板表面」は、文脈が示すように、そのような下層を含むものである。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積された場合、新たに堆積された膜/層の露出面が基板表面となる。
[0022]1又は複数の実施形態は、メモリ構造のバリア層/ライナとして機能する、薄い金属窒化膜を有利に提供する。バリア層はTiXNを含み、VNANDメモリ構造におけるTiNライナと比較して、改善されたFバリア性能を提供する。更に、1又は複数の実施形態のTiXNバリア層は、スタック抵抗率を低減しつつ、標準的なTiNライナよりも薄い厚さを有する。
[0023]本明細書で使用する用語「3D NAND」は、メモリセルが複数の層に積層された電子(固体)不揮発性コンピュータストレージメモリの一種を指す。3D NANDメモリは、一般に、フローティングゲートトランジスタを含む複数のメモリセルを含む。従来、3D NANDメモリセルは、ビット線の周囲に3次元的に配置された複数のNANDメモリ構造を含む。
[0024]本明細書の開示では、「垂直」、「水平」、「横方向」等の用語を用いる。本明細書で使用する「垂直」とは、基板の近くから、基板から離れた点又は平面まで延在する平面を指す。添付の図に示すように、垂直面は、3D NANDデバイスの上部(ページの上部)から基板(ページの下部)までに及ぶ。同様に、「水平」とは、基板の一方の側から他方の側へと延在する平面を指す。添付の図に示すように、水平面は、3D NANDデバイスの左(ページの左)から3D NANDデバイスの右(ページの右)に及ぶ。したがって、「横方向」とは、左から右へ、又はその逆、すなわち水平方向に移動することと理解すべきである。当業者は、方向の説明が、3D NANDデバイスの配向に対してであり、任意の特定の基板の配向に限定されないことを認識するであろう。
[0025]本明細書及び添付の特許請求の範囲で使用する用語「選択的に」は、第1の面に別の第2の面よりも大きな効果で作用するプロセスを指す。このようなプロセスは、第2の面よりも第1の面に「選択的に」作用すると表現される。この点で使用する用語「よりも」は、ある面が他の面の上に物理的に配向していることを意味するのではなく、むしろ、ある面の他の面に対する化学反応の熱力学的又は動力学的特性の関係を意味している。例えば、誘電体表面よりも銅表面上にコバルト膜を選択的に堆積させるということは、銅表面にコバルト膜が堆積し、誘電体表面にはコバルト膜の堆積が少ないか全くないこと、あるいは、銅表面へのコバルト膜の形成が、誘電体表面へのコバルト膜の形成に比べて熱力学的又は動力学的に有利であることを意味する。
[0026]図を参照すると、本開示の幾つかの実施形態は、メモリ構造又はメモリデバイス、例えば3D NANDデバイスを形成する方法に関するものである。図1は、1又は複数の実施形態に係るメモリデバイスの製造方法を示すプロセスフロー図である。当業者は、方法10が、図示したプロセスのいずれか又はすべてを含み得ることを認識するであろう。更に、個々のプロセスの順序は、幾つかの部分について変えることができる。方法10は、本開示から逸脱することなく、列挙したプロセスのいずれかで開始され得。1又は複数の実施形態では、メモリ構造の製造方法10は、工程15において、出発メモリスタックを形成するために、窒化物材料と酸化物材料の複数の交互層が堆積されることから開始する。工程20において、複数の交互層を通してメモリホールが形成される。工程25において、メモリホール内にポリシリコンの層が堆積される。工程30において、窒化物材料が除去される。工程35において、バリア層が堆積される。工程40において、α-タングステン(W)層が堆積される。工程45において、α-タングステン(W)層に金属材料が堆積される。工程50において、オプションでメモリ構造が平坦化される。
[0027]図2は、本開示の幾つかの実施形態に係る例示的なメモリ構造100を示す断面図である。1又は複数の実施形態では、窒化物材料106と酸化物材料104の複数の交互層150が、基板102に堆積される。
[0028]1又は複数の実施形態では、窒化物材料106は、窒化ケイ素を含む。1又は複数の実施形態では、酸化物材料104は、酸化ケイ素を含む。1又は複数の実施形態では、酸化物材料104は、本質的にシリコンで構成される。当業者に理解されるように、窒化物材料106及び酸化物材料104のそれぞれは、化学量論的材料又は非化学量論的材料であり得る。例えば、用語「酸化ケイ素」及び「二酸化ケイ素」は、いずれも、ケイ素原子及び酸素原子を任意の適切な化学量論的比率で有する材料を説明するために用いられ得る。同じことが、本開示に記載されている他の材料、例えば、窒化ケイ素、酸窒化ケイ素、酸化タングステン、酸化ジルコニウム、酸化アルミニウム、酸化ハフニウム等にも当てはまる。
[0029]窒化物材料106及び酸化物材料104を含む交互層150は、原子層堆積、物理的気相堆積、又は化学気相堆積を含むがこれらに限定されない、当業者に周知の任意の適切なプロセスによって堆積され得る。1又は複数の実施形態では、窒化物材料106及び酸化物材料104のそれぞれは、化学気相堆積によって堆積される。
[0030]本明細書で使用する「原子層堆積」又は「周期的堆積」とは、基板表面に材料の層を堆積させるために、2つ以上の反応性化合物に順次暴露することを指す。基板又は基板の一部は、処理チャンバの反応ゾーン内に導入された2つ以上の反応性化合物に別々に暴露される。時間領域ALDプロセスでは、各反応性化合物への曝露は、各化合物が基板表面に付着及び/又は反応し、その後、処理チャンバからパージされ得るように、時間遅延によって分離される。これらの反応性化合物は、順次、基板に暴露されるといわれる。空間的ALDプロセスでは、基板表面の異なる部分、又は基板表面上の材料が、2つ以上の反応性化合物に同時に暴露されるため、基板上の任意の点が同時に2つ以上の反応性化合物に暴露されることは実質的にない。本明細書及び添付の特許請求の範囲で使用するように、この点で使用する用語「実質的に」は、当業者には理解されるように、拡散に起因して基板の小さな部分が複数の反応性ガスに同時に暴露される可能性があり、その同時曝露は意図したものでないことを意味する。
[0031]時間領域ALDプロセスの一態様では、第1の反応性ガス(すなわち、第1の前駆体又は化合物A、例えばアルミニウム前駆体)が、反応ゾーン内へパルス化され、第1の時間遅延がそれに続く。次に、第2の前駆体又は化合物B(酸化剤等)が、反応ゾーン内へパルス化され、第2の遅延時間がそれに続く。各遅延時間の間に、反応ゾーンをパージするため、又はその他の方法で全ての残留反応性化合物又は反応副生成物を反応ゾーンから除去するために、アルゴン等のパージガスが処理チャンバ内に導入される。あるいは、パージガスは、反応性化合物のパルス間の時間遅延の間にパージガスだけが流れるように、堆積プロセス中ずっと連続的に流れ得る。あるいは、反応性化合物は、基板表面に所望の膜又は膜厚が形成されるまでパルス化される。いずれのシナリオにおいても、化合物A、パージガス、化合物B、及びパージガスをパルス化するALDプロセスは1サイクルとなる。サイクルは、化合物A又は化合物Bのいずれかで開始し、所定の厚さの膜に達するまで、サイクルのそれぞれの順序が継続され得る。
[0032]空間的ALDプロセスの一実施形態では、第1の反応性ガス及び第2の反応性ガス(例えば、窒素ガス)は、反応ゾーンに同時に供給されるが、不活性ガスカーテン及び/又は真空カーテンによって分離される。基板は、基板上の任意の点が第1の反応性ガス及び第2の反応性ガスに暴露されるように、ガス供給装置に対して相対的に移動し得る。
[0033]本明細書で使用する「化学気相堆積」とは、基板表面が前駆体及び/又は共試薬に同時又は実質的に同時に暴露されるプロセスを指す。本明細書で使用する「実質的に同時に」とは、前駆体の暴露の大部分が共流又はオーバーラップしている状態のいずれかを指す。
[0034]プラズマ化学気相堆積(PECVD)は、コスト効率や膜の特性の多様性から、薄膜の堆積に広く用いられている。PECVDプロセスでは、例えば、キャリアガスに巻き込まれた気相炭化水素や液相炭化水素の蒸気等の炭化水素源が、PECVDチャンバに導入される。プラズマ開始ガス、一般にヘリウムも、チャンバ内に導入される。その後、チャンバでプラズマが開始され、励起されたCHラジカルが生成される。励起されたCHラジカルは、チャンバに位置づけされた基板の表面に化学的に結合し、その上に所望の膜を形成する。PECVDプロセスについて説明した本書に記載の実施形態は、任意の適切な薄膜堆積システムを用いて行われ得る。本明細書に記載のあらゆる装置の説明は例示であり、本明細書に記載の実施形態の範囲を限定するものとして見なす又は解釈すべきでない。
[0035]1又は複数の実施形態では、個々の交互層は、任意の適切な厚さに形成され得る。1又は複数の実施形態では、窒化物材料106の各層の厚さはほぼ等しい。1又は複数の実施形態では、酸化物材料104の各層の厚さはほぼ等しい。1又は複数の実施形態では、各シリコン層104の厚さはほぼ等しい。この点で使用するほぼ等しい厚さは、互いに±5%以内である。
[0036]1又は複数の実施形態では、窒化物材料106の層の平均厚さは、酸化物材料104の層の平均厚さとほぼ等しい。1又は複数の実施形態では、窒化物材料106の層の平均厚さは、酸化物材料104の層の平均厚さよりも厚い、又は薄い。
[0037]1又は複数の実施形態では、窒化物材料106の層の平均厚さは、約15nmから約40nm、約17nmから約35nm、又は約20nmから約20nmを含む、約10nmから約50nmの範囲である。1又は複数の実施形態では、窒化物材料106の層の平均厚さは約27nmである。1又は複数の実施形態では、酸化物材料104の層の平均厚さは、約15nmから約40nm、約17nmから約35nm、又は約20nmから約20nmを含む、約10nmから約50nmの範囲である。1又は複数の実施形態では、酸化物材料104の層の平均厚さは、約25nmである。1又は複数の実施形態では、シリコン層104の平均厚さは、約2nm、約3nm、約4nm、約5nm、約6nm、約7nm、約8nm、又は約9nmを含む、約1nmから約10nmの範囲である。1又は複数の実施形態では、シリコン層104の平均厚さは約3nmである。
[0038]図3を参照すると、交互層150が堆積された後、交互層150を通してメモリホール108がエッチングされる。メモリホール108をエッチングすることにより、交互層150の第1の露出面110、第2の露出面112、及び第3の露出面114が形成される。メモリホール108は、幅Wを有する。1又は複数の実施形態では、幅Wは、メモリホール108の上部109と底部111でほぼ等しい。
[0039]図4に示すように、1又は複数の実施形態では、メモリホール108をエッチングした後に、ポリシリコン116の層がメモリホール内に共形的に堆積される。本明細書で使用する用語「共形的に堆積される」は、ポリシリコンが、メモリホールの第1の露出面、第2の露出面、及び第3の露出面に薄い層を形成するが、メモリホールを充填しないことを意味する。
[0040]図5に示すように、図示していないが、1又は複数の実施形態では、ポリシリコンを堆積させた後に、交互層150のメモリホール108とは反対側にスリットを開く。1又は複数の実施形態では、スリットは、ハードマスクを用いて形成される。1又は複数の実施形態では、スリットのエッチングは、反応性イオンエッチングプロセスを用いて実行される。1又は複数の実施形態では、スリットは、メモリホール108のエッチングに用いられるプロセスと同様のプロセスによって形成される。
[0041]図5に示すように、スリットを形成した後、酸化物材料104を露出させてメモリホール108の反対側に開口部117を形成するために、窒化物材料106が除去される。窒化物材料106は、任意の適切なプロセスによって除去され得る。1又は複数の実施形態では、除去プロセスは、酸化物材料104よりも窒化物材料106に対して選択的である。
[0042]窒化物材料106は、選択的エッチングを含むがこれに限定されない、当業者に周知の任意の適切な技法によって除去され得る。窒化物材料106を横方向にエッチングすることで、窒化物材料106が除去され、開口部117が形成される。
[0043]1又は複数の実施形態では、除去される窒化物材料106の量が制御され得る。1又は複数の実施形態では、窒化物材料106は、窒化物材料106の所定の深さDが除去されるように、選択的にエッチングされる。図5に示すように、1又は複数の実施形態では、窒化物材料106の各層から除去される材料の深さDは、ほぼ等しい。
[0044]1又は複数の実施形態では、窒化物材料106は、酸化物材料104及びシリコン層104に選択的な任意の適切なプロセスによって選択的にエッチングされ得る。1又は複数の実施形態では、窒化物材料は、原子層エッチングプロセスによって選択的にエッチングされ得る。
[0045]1又は複数の実施形態では、窒化物材料106は、メモリホール108の幅Wに比例する深さDを除去するように選択的にエッチングされる。1又は複数の実施形態では、深さDは、Wの約2%以上、Wの約5%以上、Wの約10%以上、又はWの約15%以上である。1又は複数の実施形態では、深さDは、Wの約30%以下、Wの約25%以下、Wの約20%以下、Wの約15%以下、又はWの約10%以下である。1又は複数の実施形態では、深さDは、Wの約2%から約30%の範囲、Wの約5%から約25%の範囲、又はWの約10%から約20%の範囲である。
[0046]1又は複数の実施形態では、窒化物材料106は、所定の深さDを除去するために選択的にエッチングされる。1又は複数の実施形態では、深さDは、約450nm、約400nm、約350nm、約300nm、約250nm、約200nmを含む、約500nm以下である。1又は複数の実施形態では、深さDは約100nmから約500nmである。
[0047]1又は複数の実施形態では、窒化物材料106は、全ての窒化物材料106を除去するために、選択的にエッチングされる。
[0048]図6A~図6Cは、領域118を示す拡大図である。図6Aを参照すると、1又は複数の実施形態では、第1の酸化物材料104に高誘電率材料119の共形層、又は酸化アルミニウム(AlOx)の共形層(図示せず)の1又は複数が堆積され、続いて開口部117に金属窒化物材料が堆積されて、高誘電率材料119の露出面に共形バリア層120が形成される。1又は複数の実施形態では、金属窒化物材料は、TiXN又はTaXNの式を有し、Tiはチタン、Taはタンタル、Xは金属、Nは窒化物である。1又は複数の実施形態では、Xは、アルミニウム(Al)、シリコン(Si)、タングステン(W)、ランタン(La)、イットリウム(Yt)、ストロンチウム(Sr)、又はマグネシウム(Mg)のうちの1又は複数から選択される。幾つかの実施形態では、金属窒化物は、式TaN(窒化タンタル)を有する。したがって、1又は複数の実施形態では、金属窒化物材料は、窒化チタンアルミニウム(TiAlN)、窒化チタンケイ素(TiSiN)、窒化チタンタングステン(TiWN)、窒化タンタル(TaN)、窒化タンタルケイ素(TaSiN)、窒化タンタルアルミニウム(TaAlN)、窒化タンタルタングステン(TaWN)、窒化タンタル(TaN)、窒化チタンランタン(TiLaN)、窒化チタンイットリウム(TiYN)、窒化チタンストロンチウム(TiSrN)、又は窒化チタンマグネシウム(TiMgN)のうちの1又は複数から選択される。
[0049]1又は複数の実施形態では、Xは、原子パーセントで、約5%、約10%、約20%、約25%、約30%、約35%、約40%、約45%、及び約50%を含む、約5%から約50%の量で、バリア層に存在する。1又は複数の実施形態では、Xは、原子パーセントで、約5%、約10%、約20%、約25%、約30%、約35%、約40%、約45%、及び約50%を含む、約5から約50%の量でバリア層に存在する。
[0050]1又は複数の実施形態では、バリア層120は、原子層堆積によって堆積される。1又は複数の実施形態では、原子層堆積プロセスは、空間的原子層堆積プロセスである。1又は複数の実施形態では、共形バリア層120は、ライナである。1又は複数の実施形態では、共形バリア層120は、約300℃から約700℃の範囲の温度で堆積される。
[0001]1又は複数の実施形態では、バリア層120は、酸化物材料104の露出面に実質的に共形である。本明細書で使用する「実質的に共形」である層は、厚さが全体的に(例えば、側壁の上部、中央、及び底部、ならびに間隙の底部で)ほぼ同じである層を指す。実質的に共形である層は、約5%、2%、1%、又は0.5%以下の厚さの変化がある。1又は複数の実施形態では、共形バリア層120は、約5Åから約50Å、又は約6Åから約15Åの範囲の厚さを有する。1又は複数の実施形態では、共形バリア層120は、約5Å、又は約10Å、又は約15Å、又は約20Å、又は約25Å、又は約30Å、又は約35Å、又は約40Å、又は約45Å、又は約50Åの厚さを有する。1又は複数の実施形態では、バリア層120は、約15Å未満の厚さを有する。他の実施形態では、バリア層120は、約50Å未満の厚さを有する。
[0051]図6Bに示すように、共形高誘電率層119及び共形バリア層120を堆積させた後、α-タングステン層122を、共形バリア層120上の開口部117を通して共形的に堆積させる。1又は複数の実施形態では、α-タングステン層122は、表面をシリコン前駆体に暴露して、厚さを有するアモルファスシリコン層を形成し、アモルファスシリコン層に金属層を形成することによって形成され、金属層はタングステンを含み、金属層は、アモルファスシリコン層を金属前駆体及び反応物に順次暴露することによって形成され、金属前駆体は、塩化タングステン(WCl)、オキシ塩化タングステン(WOCl)、フッ化タングステン(WF)等の1又は複数を含み、反応物は水素を含む。1又は複数の実施形態では、シリコン前駆体は、ポリシランを含む。ポリシランは、ジシラン、トリシラン、テトラシラン、イソテトラシラン、ネオペンタシラン、シクロペンタシラン、ヘキサシラン、又はシクロヘキサシランのうちの1又は複数から選択され得る。1又は複数の実施形態では、金属層を形成する前に、アモルファスシリコン層をガス抜き環境に暴露して、ガス放出種を除去する。ガス抜き環境は、アルゴン、ヘリウム、又は窒素の1又は複数を含むがこれらに限定されない不活性ガスで本質的に構成され得る。
[0052]幾つかの実施形態では、アモルファスシリコン(a-Si)の形成は、ウエハ温度を最低限に抑えながらシリコン前駆体の分圧を最大にすることによって達成され得る。適切なシリコン前駆体には、ポリシラン(SiH)が含まれるが、これらに限定されない。例えば、ポリシランには、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、イソテトラシラン、ネオペンタシラン(Si12)、シクロペンタシラン(Si10)、ヘキサシラン(C14)、シクロヘキサシラン(Si12)、又は、一般的にはx=2以上のSHy、及びそれらの組み合わせが含まれる。例えば、適度な処理温度と高い蒸気圧を持つジシランを、シリコン前駆体として単独で又は他の種と組み合わせて使用することができる。
[0053]幾つかの実施形態では、シリコン前駆体は実質的にジシランのみを含む。本明細書及び添付の特許請求の範囲で使用する「実質的にジシランのみ」という表現は、活性種の少なくとも95%がジシランであることを意味する。キャリアガス及び不活性ガス等の他のガスは、任意の量で含めることができる。
[0054]アモルファスシリコン層の厚さは、例えば、基板表面及び後続の膜及びプロセスに応じて変化し得る。幾つかの実施形態では、アモルファスシリコン層は、約1Åから約100Åの範囲の厚さを有する。1又は複数の実施形態では、アモルファスシリコン層は、約1Åから約50Åの範囲、又は約10Åから約40Åの範囲、又は約30Åから約40Åの範囲の厚さを有する。幾つかの実施形態では、アモルファスシリコン層の厚さは、0Åよりも大きく、約50Å、45Å、又は40Å以下である。
[0055]アモルファスシリコン層には、金属層が形成される。金属層は、原子層堆積(ALD)、プラズマ原子層堆積(PE-ALD)、化学気相堆積(CVD)、プラズマ化学気相堆積(PE-CVD)及び物理的気相堆積(PVD)を含むがこれらに限定されない任意の適切な技法によって形成され得る。
[0056]金属層は、任意の適切な金属を含み得る。幾つかの実施形態では、金属層は、タングステン又はモリブデンの1又は複数を含む。幾つかの実施形態では、金属層は、本質的にタングステンから構成される。幾つかの実施形態では、金属層は、本質的にモリブデンから構成される。この点で使用する「本質的に構成される」とは、金属層の約80、85、90、又は95原子%以上が指定された成分であることを意味する。例えば、本質的にタングステンから構成される金属層は、例えば、約90原子%以上がタングステンである組成を有する。
[0057]幾つかの実施形態では、金属層はCVDによって堆積される。基板に層を堆積させるために、金属前駆体及び反応物を処理チャンバ内に共流させてもよい。前駆体と反応物は、気相中で反応し得る。
[0058]幾つかの実施形態では、金属層はALDによって堆積される。時間領域ALDプロセスでは、金属前駆体が処理チャンバ内に流され、表面と反応する。チャンバの過剰な前駆体及び副生成物がパージされ、反応物がチャンバ内に流される。前駆体と反応物が同時に処理チャンバに存在しないため、気相反応は最小限又はゼロである。空間的ALDプロセスでは、金属前駆体が処理チャンバの第1のセクション内に流され、反応物が同時に処理チャンバの第2のセクション内に流される。第1のセクションと第2のセクションは、前駆体と反応物との間の気相反応を防ぐために、ガスカーテンによって分離されている。基板は、第1のセクションと第2のセクションとの間を移動し、表面が前駆体と反応物に順次暴露される。幾つかの実施形態では、金属層は、アモルファスシリコン層を金属前駆体及び反応物に順次暴露することによって堆積される。
[0059]金属前駆体は、金属膜を堆積させるために使用することができる任意の適切な前駆体であり得る。幾つかの実施形態では、金属前駆体は、タングステン、モリブデン及びそれらの組み合わせから選択される金属を含む。1又は複数の実施形態では、金属前駆体は、WCl、WOCl、WF、MoCl、MoOCl、MoF、及びMoClのうちの1又は複数を含む。幾つかの実施形態では、金属前駆体は、フッ素含有前駆体である。フッ素はシリコン表面をエッチングする可能性があることが知られている。本開示の幾つかの実施形態では、前駆体がa-Si膜のすべてを除去しないことを保証するのに十分な厚さにアモルファスシリコン層を形成することができるため、有利にフッ素前駆体を使用することが可能である。
[0060]反応物は、表面に形成された種と反応し得る任意の適切な反応物であり得る。例えば、前駆体としてWClを用いた場合、表面には-WCl種が存在することになる。反応物は、-WCl種と反応して、α-タングステン(W)膜122を生成し得る。1又は複数の実施形態では、α-タングステン膜122は、約10Å、約15Å、約20Å、約25Å、約30Å、約35Å、約40Å、約45Å、約50Å、約60Å、約65Å、約70Å、約75Å、及び約80Åを含む、約10Åから約80Åの範囲の厚さを有する。1又は複数の実施形態では、α-タングステン層122は、約300℃から約500℃の範囲の温度で堆積される。
[0061]図6Cを参照すると、α-タングステン膜122の共形的な堆積の後、金属材料124が、α-タングステン(W)膜122上の開口部117を通して堆積される。1又は複数の実施形態では、金属材料124は、開口部117を充填する。1又は複数の実施形態では、金属材料124は、バルクタングステン(W)を含む。
[0062]1又は複数の実施形態では、Xがアルミニウム(Al)、シリコン(Si)、タングステン(W)、ランタン(La)、イットリウム(Y)、ストロンチウム(Sr)、又はマグネシウム(Mg)のうちの1又は複数から選択される金属であるがこれに限定されないTiXN又はTaXNの膜が、VNAND用途におけるバルクタングステン(W)成長のための核形成及びFバリア層として使用するためにTiNと比較されている。TiXN又はTaXNバリア層/ライナは、約450℃から約500℃で、約6Åから約15Åの範囲の厚さで堆積される。次に、α-タングステン(W)層が約500℃で堆積され、続いて、約400℃から約500℃の温度で、約100Åから約300Åの厚さのバルクタングステン(W)が堆積される。理論にとらわれることなく、TiXN又はTaXNの膜は、TiNを含むライナと比較して、スタック抵抗率を少なくとも15%低減させる。また、TiSiNの膜は、TiNのライナと比較して、バルクWから基板へのFの浸透量を10倍低減させる。更に、標準的なTiN又はTaNライナと比較して、TiXN又はTaXNのより薄いバリア層は、VNANDメモリ構造におけるより多くのタングステン(W)充填につながり、この結果、VNAND用途での全体的な抵抗率が低減する。
[0063]図7を参照すると、当業者によって理解されるように、高誘電率層119を堆積させ、バリア層120を堆積させ、α-タングステン層122を堆積させ、そして金属材料124で開口部117を充填することによって生じたあらゆるオーバーバーデン(過剰な厚さ)は、その後、オプションとして除去され、ゲート126が形成され得る。高誘電率層119、バリア層材料120、α-タングステン層122、及び金属材料124のオーバーバーデンは、化学機械平坦化又はエッチングを含むがこれらに限定されない、当業者に周知の任意の技法によって除去され得る。
[0064]図7を参照すると、1又は複数の実施形態では、金属ゲート材料124が堆積されて、酸化物材料104の層の間の開口部117を充填し、ゲート126が形成される。ゲート126は、当業者に周知の任意の適切な導電性材料であり得る。1又は複数の実施形態では、ゲート材料124は、タングステン(W)、銅(Cu)、コバルト(Co)、アルミニウム(Al)、ルテニウム(Ru)、イリジウム(Ir)、モリブデン(Mo)、白金(Pt)、タンタル(Ta)、チタン(Ti)、又はロジウム(Rh)のうちの1又は複数を含む。1又は複数の実施形態では、ゲート材料124は、タングステン(W)を含む。1又は複数の実施形態では、ゲート材料124は、原子層堆積によって堆積される。
[0065]図7を参照すると、1又は複数の実施形態は、シリコン材料104及び金属ゲート126の複数の交互層150であって、金属ゲート126が、共形バリア層120、共形α-タングステン層122、及びバルクタングステン層124を含む、シリコン材料104及び金属ゲート126の複数の交互層150と、複数の交互層150を通して形成され、メモリホールチャネル108の第1の面、第2の面、及び第3の面に堆積されたポリシリコン材料116の共形層を有するメモリホールチャネル108とを備えるメモリ構造を対象としたものである。
[0066]1又は複数の実施形態は、メモリホールチャネル108の周囲に3次元構成で配置された1又は複数の実施形態の複数のNANDメモリ構造を含む3D NANDメモリを対象としたものである。幾つかの実施形態では、3D NANDメモリセルは、ポリシリコン材料116に接触するメモリホールチャネル108のビット線を含む。
[0067]本開示の更なる実施形態は、図8に示すように、説明したメモリデバイスの形成及び方法のための処理ツール900を対象としたものである。図8に示す処理ツールは、空間的ALD処理ツールであるが、当業者は、範囲が空間的ALDツールに限定されないことを認識するであろう。
[0068]クラスタツール900は、複数の側面を有する少なくとも1つの中央移送ステーション921、931を備える。ロボット925、935は、中央移送ステーション921、931内に位置づけされ、ロボットブレード及びウエハを複数の側面のそれぞれに移動させるように構成されている。
[0069]クラスタツール900は、中央移送ステーションに接続された、プロセスステーションとも呼ばれる、複数の処理チャンバ902、904、906、908、910、912、914、916、及び918を備える。様々な処理チャンバは、隣接するプロセスステーションから分離された別々の処理領域を提供する。処理チャンバは、予洗浄チャンバ、バッファチャンバ、移送空間(複数可)、ウエハオリエンタ/ガス抜きチャンバ、低温冷却チャンバ、堆積チャンバ、アニールチャンバ、エッチングチャンバ、及びブロッキング酸化物材料堆積チャンバを含むがこれらに限定されない、任意の適切なチャンバであり得る。プロセスチャンバと構成要素の特定の配置は、クラスタツールに応じて変化させることができ、本開示の範囲を限定するものとみなすべきではない。
[0070]1又は複数の実施形態では、クラスタツール900は、バリア層堆積チャンバと、α-タングステン堆積チャンバとを含む。幾つかの実施形態のバリア層堆積チャンバ及びα-タングステン堆積チャンバは、原子層堆積チャンバ、プラズマ原子層堆積チャンバ、化学気相堆積チャンバ、プラズマ化学気相堆積チャンバ、空間的原子層堆積チャンバ、又は物理的堆積チャンバのうちの1又は複数を含む。1又は複数の実施形態では、クラスタツール900は、中央移送ステーションに接続された予洗浄チャンバを含む。
[0071]図8に示す実施形態では、ファクトリインターフェース950がクラスタツール900の前面に接続されている。ファクトリインターフェース950は、ファクトリインターフェース950の前面951に、ローディングチャンバ954及びアンローディングチャンバ956を含む。ローディングチャンバ954を左に、アンローディングチャンバ956を右に示したが、当業者は、これが1つの可能な構成の描写に過ぎないことを理解するであろう。
[0072]ローディングチャンバ954及びアンローディングチャンバ956のサイズ及び形状は、例えば、クラスタツール900で処理される基板に応じて変化し得る。図示した実施形態では、ローディングチャンバ954及びアンローディングチャンバ956は、カセット内に複数のウエハが位置づけされたウエハカセットを保持するサイズである。
[0073]ロボット952は、ファクトリインターフェース950内にあり、ローディングチャンバ954とアンローディングチャンバ956との間を移動し得る。ロボット952は、ローディングチャンバ954のカセットからファクトリインターフェース950を通してロードロックチャンバ960にウエハを移送し得る。また、ロボット952は、ロードロックチャンバ962からファクトリインターフェース950を通して、アンローディングチャンバ956のカセットにウエハを移送することもできる。当業者には理解されるように、ファクトリインターフェース950は、2つ以上のロボット952を有し得る。例えば、ファクトリインターフェース950は、ローディングチャンバ954とロードロックチャンバ960との間でウエハを移送する第1のロボットと、ロードロック962とアンローディングチャンバ956との間でウエハを移送する第2のロボットとを有し得る。
[0074]図示のクラスタツール900は、第1のセクション920と第2のセクション930とを有する。第1のセクション920は、ロードロックチャンバ960、962を介してファクトリインターフェース950に接続されている。第1のセクション920は、その中に少なくとも1つのロボット925が位置づけされている第1の移送チャンバ921を含む。ロボット925は、ロボット式ウエハ輸送機構とも呼ばれる。第1の移送チャンバ921は、ロードロックチャンバ960、962、プロセスチャンバ902、904、916、918、及びバッファチャンバ922、924に対して中央に位置している。幾つかの実施形態のロボット925は、一度に2つ以上のウエハを独立して移動させることができるマルチアームロボットである。1又は複数の実施形態では、第1の移送チャンバ921は、2つ以上のロボットウエハ移送機構を備える。第1の移送チャンバ921のロボット925は、第1の移送チャンバ921の周囲のチャンバ間でウエハを移動させるように構成される。個々のウエハは、第1のロボット機構の遠位端に位置するウエハ輸送ブレード上に担持される。
[0075]第1のセクション920でウエハを処理した後、ウエハは、パススルーチャンバを通して第2のセクション930に渡され得る。例えば、チャンバ922、924は、一方向性又は双方向性のパススルーチャンバであり得る。パススルーチャンバ922、924は、例えば、第2のセクション930での処理の前にウエハを低温冷却する、又は第1のセクション920に戻る前にウエハの冷却あるいは後処理を可能にするために使用され得る。
[0076]システムコントローラ990は、第1のロボット925、第2のロボット935、第1の複数の処理チャンバ902、904、916、918、及び第2の複数の処理チャンバ906、908、910、912、914と通信している。システムコントローラ990は、処理チャンバ及びロボットを制御することができる任意の適切な構成要素であり得る。例えば、システムコントローラ990は、中央処理装置、メモリ、適切な回路及びストレージを含むコンピュータであり得る。
[0077]プロセスは、一般に、プロセッサによって実行されると、プロセスチャンバに本開示のプロセスを実行させるソフトウェアルーチンとして、システムコントローラ990のメモリに記憶され得る。また、ソフトウェアルーチンは、プロセッサによって制御されるハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によっても記憶及び/又は実行され得る。また、本開示の方法の一部又は全部がハードウェアで実行され得る。このように、プロセスは、ソフトウェアで実装され、コンピュータシステムを使用して実行され得る、例えば、特定用途向け集積回路又は他のタイプのハードウェアの実装態様としてハードウェアで実行され得る、又はソフトウェアとハードウェアの組み合わせで実行され得る。ソフトウェアルーチンは、プロセッサによって実行されると、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特定目的のコンピュータ(コントローラ)に変換する。
[0078]「下(beneath)、(below)」、「下方(lower)」、「上(above)」、「上方(upper)」等のような空間的に相対的な用語は、図に示すある要素又は特徴の別の要素又は特徴との関係を示す説明を容易にするために本明細書で使用され得る。空間的に相対的な用語は、図に示す配向に加えて、使用中又は動作中のデバイスの異なる配向を包含するものであることが理解されよう。例えば、図のデバイスがひっくり返された場合、他の要素又は特徴の「下(below又はbeneath)」として記載される要素は、他の要素又は特徴の「上」に配向される。したがって、「下(below)」という例示的な用語は、上と下の両方の配向を包含し得る。デバイスは、他の方法で配向され(90度回転され又は他の配向にあり)得、本明細書で使用する空間的に相対的な記述子はそれに応じて解釈され得る。
[0079]本明細書に記載の材料及び方法を説明する文脈での(特に以下の請求項の文脈で)「a」及び「an」及び「the」という用語及び同様の指示語の使用は、本書に別段の記載がない限り、又は文脈によって明確に矛盾しない限り、単数形及び複数形の両方を網羅すると解釈されるべきである。本明細書の値の範囲の列挙は、本明細書に別段の記載がない限り、範囲内にある各個別の値を個別に参照する簡略化された方法として役立つことを単に意図し、各個別の値は、本明細書に個別に記載されているかのように本明細書に組み込まれる。本明細書に記載のすべての方法は、本明細書に別段の記載がない限り、又は文脈によって明らかに矛盾しない限り、任意の適切な順序で実行され得る。本明細書で提供する任意の及び全ての例、又は例示的な文言(例えば、「等」)の使用は、単に材料及び方法をよりよく明らかにすることを意図しており、別段の請求がない限り、範囲に制限を課すものではない。本明細書のいかなる文言も、開示された材料及び方法の実施に不可欠であるとして、特許請求の範囲にないいかなる要素も示すと解釈されるべきではない。
[0080]本明細書全体における「一実施形態」、「特定の実施形態」、「1又は複数の実施形態」又は「実施形態」への言及は、実施形態に関連して説明した特定の特徴、構造、材料、又は特性が本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所での「1又は複数の実施形態では」、「特定の実施形態では」、「一実施形態では」又は「実施形態では」等の句の出現は、必ずしも本開示の同じ実施形態を指すとは限らない。1または複数の実施形態では、特定の特徴、構造、材料、または特性を任意の適切な方法で組み合わせている。
[0081]本明細書の開示を、特定の実施形態を参照しながら説明してきたが、これらの実施形態は、本開示の原理及び適用の単なる例示であることを理解されたい。本開示の主旨及び範囲から逸脱することなく、本開示の方法及び装置に様々な修正及び変更を加えることができることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲及びその均等物の範囲内にある修正及び変更を含むことが意図されている。

Claims (17)

  1. メモリ構造であって、
    シリコン材料と金属ゲートの複数の交互層であって、前記金属ゲートが、TiXN又はTaXNを含む共形バリア層であって厚さが5Å以上25Å未満である共形バリア層、共形α-タングステン(W)層、及びバルクタングステン層を含む、シリコン材料と金属ゲートの複数の交互層と、
    前記複数の交互層を通して形成されたメモリホールチャネルであって、前記メモリホールチャネルの第1の面、第2の面、及び底面に堆積されたポリシリコン材料の共形層を有するメモリホールチャネルと
    を備えるメモリ構造。
  2. 前記Xが、アルミニウム(Al)、シリコン(Si)、タングステン(W)、ランタン(La)、イットリウム(Yt)、ストロンチウム(Sr)、又はマグネシウム(Mg)のうちの1又は複数から選択される、請求項に記載のメモリ構造。
  3. 前記共形バリア層が、窒化チタンアルミニウム(TiAlN)、窒化チタンケイ素(TiSiN)、窒化チタンタングステン(TiWN)、窒化タンタルケイ素(TaSiN)、窒化タンタルアルミニウム(TaAlN)、窒化タンタルタングステン(TaWN)、窒化チタンランタン(TiLaN)、窒化チタンイットリウム(TiYN)、窒化チタンストロンチウム(TiSrN)、又は窒化チタンマグネシウム(TiMgN)のうちの1又は複数から選択される材料を含む、請求項に記載のメモリ構造。
  4. Xが、前記共形バリア層内に約5%から約50%の量で存在する、請求項に記載のメモリ構造。
  5. 前記共形α-タングステン(W)層が、約10Åから約80Åの範囲の厚さを有する、請求項1から4のいずれか一項に記載のメモリ構造。
  6. 前記金属ゲートが高誘電率層を更に含む、請求項1から5のいずれか一項に記載のメモリ構造。
  7. 前記共形バリア層の厚さが、6Åから15Åの範囲の厚さである、請求項1から6のいずれか一項に記載のメモリ構造。
  8. 前記メモリホールチャネルの周囲に3次元構成で配置された請求項1から7のいずれか一項に記載の複数のメモリ構造を備える、3D NANDメモリセル。
  9. 前記メモリホールチャネル内に前記ポリシリコン材料の共形層に接触するビット線を更に備える、請求項に記載の3D NANDメモリセル。
  10. メモリ構造を形成する方法であって、
    窒化物材料と酸化物材料の複数の交互層を堆積させることと、
    前記交互層の第1の露出面及び第2の露出面を形成するために、前記複数の交互層を通して、幅を有するメモリホールをエッチングすることと、
    ポリシリコンの共形層を前記メモリホール内に堆積させることと、
    開口部を形成し、前記酸化物材料を露出させるために、前記窒化物材料を除去することと、
    前記開口部内に高誘電率層を共形的に堆積させることと、
    前記開口部内の前記高誘電率層上にTiXN又はTaXNを含む5Å以上25Å未満の厚さを有するバリア層を共形的に堆積させることと、
    前記バリア層上にα-タングステン(W)層を共形的に堆積させることと、
    前記α-タングステン(W)層上に金属材料を堆積させることと、
    オプションで前記メモリ構造を平坦化することと
    を含む方法。
  11. 前記Xが、アルミニウム(Al)、シリコン(Si)、タングステン(W)、ランタン(La)、イットリウム(Yt)、ストロンチウム(Sr)、又はマグネシウム(Mg)のうちの1又は複数から選択される、請求項10に記載の方法。
  12. 前記バリア層が、窒化チタンアルミニウム(TiAlN)、窒化チタンケイ素(TiSiN)、窒化チタンタングステン(TiWN)、窒化タンタルケイ素(TaSiN)、窒化タンタルアルミニウム(TaAlN)、窒化タンタルタングステン(TaWN)、窒化チタンランタン(TiLaN)、窒化チタンイットリウム(TiYN)、窒化チタンストロンチウム(TiSrN)、又は窒化チタンマグネシウム(TiMgN)のうちの1又は複数から選択される材料を含む、請求項10に記載の方法。
  13. Xが前記バリア層内に約5%から約50%の量で存在する、請求項11に記載の方法。
  14. 前記α-タングステン(W)層が約10Åから約80Åの範囲の厚さを有する、請求項10から13のいずれか一項に記載の方法。
  15. 前記バリア層が、約300℃から約700℃の範囲の温度で原子層堆積によって堆積される、請求項10から14のいずれか一項に記載の方法。
  16. 前記α-タングステン(W)層が、約300℃から約500℃の範囲の温度で堆積される、請求項10から15のいずれか一項に記載の方法。
  17. 前記バリア層が、6Åから15Åの範囲の厚さで堆積される、請求項10から16のいずれか一項に記載の方法。
JP2021568856A 2019-05-24 2020-05-19 V-nandワード線スタック用ライナ Active JP7362780B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962852396P 2019-05-24 2019-05-24
US62/852,396 2019-05-24
US16/876,280 US11476267B2 (en) 2019-05-24 2020-05-18 Liner for V-NAND word line stack
US16/876,280 2020-05-18
PCT/US2020/033624 WO2020242832A1 (en) 2019-05-24 2020-05-19 Liner for v-nand word line stack

Publications (2)

Publication Number Publication Date
JP2022533201A JP2022533201A (ja) 2022-07-21
JP7362780B2 true JP7362780B2 (ja) 2023-10-17

Family

ID=73456214

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021568856A Active JP7362780B2 (ja) 2019-05-24 2020-05-19 V-nandワード線スタック用ライナ

Country Status (5)

Country Link
US (2) US11476267B2 (ja)
JP (1) JP7362780B2 (ja)
KR (2) KR20210158416A (ja)
CN (1) CN114080681A (ja)
WO (1) WO2020242832A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594495B2 (en) * 2021-03-23 2023-02-28 Micron Technology, Inc. Microelectronic devices including conductive levels having varying compositions, and related memory devices, electronic systems, and methods
WO2024086177A1 (en) * 2022-10-19 2024-04-25 Applied Materials, Inc. Three-dimensional memory device wordlines with reduced blocking layer damage

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534058A (ja) 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
JP2016225434A (ja) 2015-05-29 2016-12-28 株式会社東芝 半導体装置及びその製造方法
JP2018137388A (ja) 2017-02-23 2018-08-30 東芝メモリ株式会社 半導体記憶装置およびその製造方法
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
JP2019160918A (ja) 2018-03-09 2019-09-19 東芝メモリ株式会社 半導体装置およびその製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6452276B1 (en) * 1998-04-30 2002-09-17 International Business Machines Corporation Ultra thin, single phase, diffusion barrier for metal conductors
KR100576363B1 (ko) 2003-05-30 2006-05-03 삼성전자주식회사 인시투 화학기상증착 금속 공정 및 그에 사용되는화학기상증착 장비
KR100780689B1 (ko) 2005-09-30 2007-11-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100867633B1 (ko) * 2007-02-13 2008-11-10 삼성전자주식회사 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
KR20130070930A (ko) * 2011-12-20 2013-06-28 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법
KR20140132102A (ko) 2013-05-07 2014-11-17 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 동작 방법
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US10468263B2 (en) 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
TWI716511B (zh) 2015-12-19 2021-01-21 美商應用材料股份有限公司 用於鎢原子層沉積製程作為成核層之正形非晶矽
US10361213B2 (en) * 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013534058A (ja) 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
JP2016225434A (ja) 2015-05-29 2016-12-28 株式会社東芝 半導体装置及びその製造方法
JP2018137388A (ja) 2017-02-23 2018-08-30 東芝メモリ株式会社 半導体記憶装置およびその製造方法
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
JP2019160918A (ja) 2018-03-09 2019-09-19 東芝メモリ株式会社 半導体装置およびその製造方法

Also Published As

Publication number Publication date
US20200373318A1 (en) 2020-11-26
KR20210158416A (ko) 2021-12-30
US11476267B2 (en) 2022-10-18
CN114080681A (zh) 2022-02-22
WO2020242832A1 (en) 2020-12-03
KR20230163587A (ko) 2023-11-30
US20230005945A1 (en) 2023-01-05
JP2022533201A (ja) 2022-07-21

Similar Documents

Publication Publication Date Title
US20200365456A1 (en) Low resistivity films containing molybdenum
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
KR102565626B1 (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
KR102046614B1 (ko) 금속 게이트 구조들 및 그 형성 방법들
JP5656140B2 (ja) 純タングステンコンタクトおよびラインを形成する方法及び半導体基板の製造方法
US11164882B2 (en) 3-D NAND control gate enhancement
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
CN116145112A (zh) 沉积方法
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20230005945A1 (en) Liner for v-nand word line stack
US11355391B2 (en) Method for forming a metal gapfill
JP7274587B2 (ja) 高移動度チャネルを備えた3次元dram構造
WO2022235738A1 (en) Enhancing gapfill performance of dram word line
KR20230110582A (ko) 금속 게이트 스택에서의 금속 충전을 위한 방법들 및 장치
JP2024508786A (ja) 低抵抗率のdram埋め込みワード線スタック
US20240071927A1 (en) Tantalum doped ruthenium layers for interconnects
US20230044391A1 (en) Selective silicide deposition for 3-d dram

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230919

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231004

R150 Certificate of patent or registration of utility model

Ref document number: 7362780

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150