JP7148521B2 - 炭化ケイ素を研磨するための組成物及び方法 - Google Patents

炭化ケイ素を研磨するための組成物及び方法 Download PDF

Info

Publication number
JP7148521B2
JP7148521B2 JP2019536830A JP2019536830A JP7148521B2 JP 7148521 B2 JP7148521 B2 JP 7148521B2 JP 2019536830 A JP2019536830 A JP 2019536830A JP 2019536830 A JP2019536830 A JP 2019536830A JP 7148521 B2 JP7148521 B2 JP 7148521B2
Authority
JP
Japan
Prior art keywords
polishing composition
substrate
acid
silica particles
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019536830A
Other languages
English (en)
Other versions
JP2020505756A (ja
Inventor
イバノフ ロマン
フン ロウ フェルナンド
チョン-ユアン コー
ホワイトナー グレン
Original Assignee
シーエムシー マテリアルズ,インコーポレイティド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シーエムシー マテリアルズ,インコーポレイティド filed Critical シーエムシー マテリアルズ,インコーポレイティド
Publication of JP2020505756A publication Critical patent/JP2020505756A/ja
Application granted granted Critical
Publication of JP7148521B2 publication Critical patent/JP7148521B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Dispersion Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

発明の背景
基板の表面を平坦化または研磨するための組成物及び方法は当技術分野でよく知られている。研磨組成物(研磨スラリーとしても知られている)は、典型的には研削材を液体担体中に含有するものであり、研磨組成物を染み込ませた研磨パッドを表面と接触させることによって表面に塗布される。典型的な研削材としては、二酸化ケイ素、酸化セリウム、酸化アルミニウム、酸化ジルコニウム及び酸化スズが挙げられる。研磨組成物は典型的には研磨パッド(例えば、研磨布またはディスク)と一緒に使用される。研削材は、研磨組成物中に懸濁される代わりに、またはそれに加えて、研磨パッド中に組み込まれていることもある。
次世代の半導体デバイスは、より高い硬度ならびに、高出力、高温及び高頻度の動作用途のために望ましい他の特性を有する材料の使用を組み込んでいる。そのような材料には炭化ケイ素及び窒化ケイ素が含まれる。炭化ケイ素は、電気特性と、高い実動作温度、良好な耐食性及び高い熱特性を含めた熱物理的特性との望ましい組み合わせを有する材料である。窒化ケイ素は、エッチング停止マスク、電気絶縁体、化学拡散障壁としての、またはコンデンサの誘電材料としての実用性を有する高強度硬質材料である。しかしながら、炭化ケイ素及び窒化ケイ素は、集積回路を構成する他の材料よりも著しく硬く、より化学的に不活性である。
その上、既知の研磨組成物及び方法は、許容不可能な高レベルで二酸化ケイ素などの材料を半導体ウェハから除去することなく炭化ケイ素を選択的に同ウェハから除去する能力を提供しない。集積回路デバイスの技術が進歩するにつれて、従来の材料は、進歩した集積回路に必要とされるレベルの性能を実現すべく新規かつ特異な方法で使用されてきている。特に、窒化ケイ素、炭化ケイ素及び二酸化ケイ素は、新規かつよりいっそう複雑なデバイス構成を実現すべく様々な組み合わせで使用されてきている。一般に、構造の複雑さ及び性能特性は、異なる用途によって様々である。
したがって、比較的高い炭化ケイ素除去速度を提供する新規な研磨方法及び組成物を開発すること、ならびに炭化ケイ素を半導体ウェハの表面上に存在する他の材料よりも優先して選択的に除去することが必要とされ続けている。
本発明は、基板を化学機械研磨する方法であって、(i)基板を提供することを含み、基板が、炭化ケイ素層を基板の表面上に含むものであり;(ii)研磨パッドを提供すること;(iii)(a)シリカ粒子、(b)スルホン酸モノマー単位を含むポリマー及び(c)水を含む研磨組成物を提供することを含み、研磨組成物のpHが約2~約5であり;(iv)基板を研磨パッド及び研磨組成物と接触させること;ならびに(v)研磨パッド及び研磨組成物を基板に対して相対的に移動させて基板の表面上の炭化ケイ素層の少なくとも一部を研削して基板を研磨することを含む、当該方法を提供する。
本発明はさらに、化学機械研磨組成物であって、(a)アルミニウムイオンを含有するシリカ粒子を含み、アルミニウムイオンがシリカ粒子中に均質に分布しており、シリカ粒子の平均粒径が約40nm~約80nmであり、(b)スルホン酸モノマー単位を含むポリマー、(c)緩衝剤及び(d)水を含み、研磨組成物のpHが約2~約5である、当該研磨組成物を提供する。
本発明は、(a)シリカ粒子、(b)スルホン酸モノマー単位を含むポリマー、(c)任意選択の緩衝剤、及び(d)水を含む化学機械研磨組成物を提供し、当該研磨組成物のpHは約2~約5である。
研磨組成物はシリカ粒子を含む。シリカ粒子は任意の好適なシリカ粒子であり得る。シリカ粒子は沈降シリカ粒子または縮合重合シリカ粒子であり得る。いくつかの実施形態では、シリカ粒子は、Nissan ChemicalからのSnowtex(商標)シリカ粒子などの沈降シリカを含む。Snowtex(商標)シリカ粒子の非限定的な例としては、ST-OL-40、ST-OZL-35、及びST-PMSO製品が挙げられる。いくつかの実施形態では、シリカ粒子は、シリカ粒子とメルカプトアルキルトリアルコキシシランなどのメルカプトシランとの縮合、及びそれに続くメルカプト基のスルフェートまたはスルホネートへの酸化によって調製された、アニオン性シリカ粒子を含む。好適なアニオン性シリカ粒子の非限定的な例は、Fuso ChemicalからのPL-3D及び、米国公開出願第2016/0222254号に記載されているような、アルミニウムイオンで表面改質されたコロイド状シリカ粒子WL83Aである。いくつかの実施形態では、シリカ粒子はアルミニウムイオンを含有し、アルミニウムイオンはシリカ粒子中に均質に分布している。アルミニウムイオンを含有するシリカ粒子は、アルミニウムイオンを含有するケイ酸塩水溶液を使用する沈降プロセスによって調製することができる。アルミニウムイオンは、ケイ酸塩水溶液に添加され得るかまたは、ケイ酸塩を調製するために使用される原材料に起因するケイ酸塩の不純物または低レベル成分として存在し得る。この実施形態では、粒子が均質な溶液から形成されるため、アルミニウムイオンはシリカ粒子全体に均質に分布している。これは、シリカ粒子の表面にアルミニウムイオンが会合するようにアルミニウムイオン含有溶液を既に形成されたシリカ粒子と接触させるシリカ粒子のアルミニウムドープとは異なるものである。好適なアルミニウムイオン含有シリカ粒子の非限定的な例は、NalcoからのTX13573製品である。
シリカ粒子は任意の好適な平均粒径(すなわち平均粒子直径)を有し得る。球状シリカ粒子の場合、粒径は粒子の直径である。非球状シリカ粒子の場合、粒径は、粒子を包含する最小球の直径である。シリカの粒径は、任意の好適な技法を用いて、例えばレーザー回折技法を用いて測定することができる。好適な粒径測定機器は例えばMalvern Instruments(Malvern,UK)から入手することができる。平均シリカ粒径が小さ過ぎる場合、研磨組成物は十分な除去速度を発揮しない可能性がある。対照的に、平均シリカ粒径が大き過ぎる場合、研磨組成物は、望ましくない研磨性能、例えば不良な基板欠陥などを呈する可能性がある。
したがって、シリカ粒子の平均粒径は約40nm以上、例えば約45nm以上または約50nm以上であり得る。あるいは、またはさらに、シリカ粒子の平均粒径は約80nm以下、例えば、約75nm以下、約70nm以下、約65nm以下、または約60nm以下であり得る。よって、シリカ粒子は、上記端点の任意の2つによって制限された平均粒径を有し得る。例えば、シリカ粒子の平均粒径は、約40nm~約80nm、約40nm~約75nm、約40nm~約70nm、約40nm~約65nm、約40nm~約60nm、約45nm~約80nm、約45nm~約75nm、約45nm~約70nm、約45nm~約65nm、約45nm~約60nm、約50nm~約80nm、約50nm~約75nm、約50nm~約70nm、約50nm~約65nm、または約50nm~約60nmであり得る。
シリカ粒子は、本発明の研磨組成物中でコロイド的に安定であることが好ましい。コロイドという用語は、シリカ粒子の液体担体(例えば水)懸濁液を指す。コロイド安定性とは、時を経てその懸濁液が維持されることを指す。本発明に関して、シリカ粒子を100mLメスシリンダーに入れて撹拌せずに2時間置いたときにメスシリンダーの底部50mL分における粒子の濃度(g/mL表示で[B])とメスシリンダーの上部50mL分における粒子の濃度(g/mL表示で[T])との差を組成物中のシリカ粒子の初期濃度(g/mL表示で[C])で割ったものが0.5以下(つまり、{[B]-[T]}/[C]≦0.5)である場合、シリカ粒子はコロイド的に安定であるとみなされる。より好ましくは、[B]-[T]/[C]の値は0.3以下であり、最も好ましくは0.1以下である。
研磨組成物は任意の好適な量のシリカ粒子を含み得る。本発明の研磨組成物が含むシリカが少なすぎる場合、組成物は十分な除去速度を発揮しない可能性がある。対照的に、研磨組成物が含むシリカが多すぎる場合には、研磨組成物は、望ましくない研磨性能を呈する可能性、費用対効果が良くない可能性、及び/または安定性を欠く可能性がある。研磨組成物は約10質量%以下のシリカ粒子、例えば、約9質量%以下、約8質量%以下、約7質量%以下、約6質量%以下、約5質量%以下、約4質量%以下、約3質量%以下、約2質量%以下、約1質量%以下、約0.9質量%以下、約0.8質量%以下、約0.7質量%以下、約0.6質量%以下または約0.5質量%以下のシリカ粒子を含み得る。あるいは、またはさらに、研磨組成物は約0.05質量%以上のシリカ粒子、例えば、約0.1質量%以上、約0.2質量%以上、約0.3質量%以上、約0.4質量%以上、約0.5質量%以上または約1質量%以上のシリカ粒子を含み得る。よって、研磨組成物は、上記端点の任意の2つによって制限された量のシリカ粒子を有し得る。例えば、研磨組成物は約0.05質量%~約10質量%のシリカ粒子、例えば、0.1質量%~約10質量%、約0.1質量%~約9質量%、約0.1質量%~約8質量%、約0.1質量%~約7質量%、約0.1質量%~約6質量%、約0.1質量%~約5質量%、約0.1質量%~約4質量%、約0.1質量%~約3質量%、約0.1質量%~約2質量%、約0.1質量%~約1質量%、約0.2質量%~約2質量%、約0.2質量%~約1質量%、約0.2質量%~約0.6質量%、または約0.3質量%~約0.5質量%のシリカ粒子を含み得る。一実施形態では、研磨組成物は約0.5質量%~約3質量%のシリカ粒子(例えば、約1質量%~約3質量%のシリカ粒子または約1質量%~約2質量%のシリカ粒子)を含む。
研磨組成物は、スルホン酸モノマー単位を含んでいるポリマーを含むが、これを以下ではスルホン酸ポリマーまたはコポリマーと呼ぶ。スルホン酸モノマー単位は、式-SOHの基を1つ以上含む任意の好適なスルホン酸モノマー単位であり得る。好適なスルホン酸(ホモ)ポリマーの非限定的な例としては、ポリビニルスルホン酸、ポリスチレンスルホン酸(例えば、ポリ(4-スチレンスルホン酸))、ポリアリルスルホン酸、ポリエチルアクリレートスルホン酸、ポリブチルアクリレートスルホン酸、ポリイソプレンスルホン酸などが挙げられる。好適なスルホン酸コポリマーには、スルホン酸モノマー単位と、カルボン酸基またはカルボン酸基の誘導体、例えばアミドを含むモノマーとを含むコポリマーが含まれる。好適なスルホン酸コポリマーの非限定的な例としては、ポリ(2-アクリルアミド-2-メチルプロパンスルホン酸)、ポリ(4-スチレンスルホン酸-コ-マレイン酸)などが挙げられる。好ましい実施形態では、スルホン酸ポリマーはポリスチレンスルホン酸である。スルホン酸ポリマーは、その遊離酸形態で提供され得るか、その塩として提供され得るか、またはその部分塩として提供され得る。
スルホン酸ポリマーまたはコポリマーは、任意の好適な分子量を有し得る。スルホン酸ポリマーまたはコポリマーの平均分子量は約50,000g/mol以上、例えば、約55,000g/mol以上、約60,000g/mol以上、約65,000g/mol以上、約70,000g/mol以上、約75,0000g/mol以上、約80,000g/mol以上、約85,000g/mol以上、約90,000g/mol以上、約95,000g/mol以上、約100,000g/mol以上、約110,000g/mol以上、約120,000g/mol以上、約130,000g/mol以上、約140,000g/mol以上、約150,000g/mol以上であり得る。あるいは、またはさらに、スルホン酸ポリマーまたはコポリマーの平均分子量は約200,000g/mol以下、例えば、約195,000g/mol以下、約190,000g/mol以下、約185,000g/mol以下、約180,000g/mol以下、約175,000g/mol以下、約170,000g/mol以下、約165,000g/mol以下、約160,000g/mol以下、約155,000g/mol以下、約150,000g/mol以下であり得る。よって、スルホン酸ポリマーまたはコポリマーは、上記端点の任意の2つによって制限された平均分子量を有し得る。例えば、スルホン酸ポリマーまたはコポリマーの平均分子量は約50,000g/mol~約200,000g/mol、約60,000g/mol~約200,000g/mol、約70,000g/mol~約200,000g/mol、約75,000g/mol~約200,000g/mol、約80,000g/mol~約200,000g/mol、約90,000g/mol~約200,000g/mol、約100,000g/mol~約200,000g/mol、約50,000g/mol~約190,000g/mol、約50,000g/mol~約180,000g/mol、約50,000g/mol~約170,000g/mol、約50,000g/mol~約160,000g/mol、約50,000g/mol~約150,000g/mol、または約75,000g/mol~約150,000g/molであり得る。
研磨組成物は、任意の好適な量のスルホン酸ポリマーまたはコポリマーを含む。スルホン酸ポリマーまたはコポリマーの量とは、研磨組成物中に存在するスルホン酸ポリマーまたはコポリマーの総量のことを指す。研磨組成物はスルホン酸ポリマーまたはコポリマーを約1ppm以上、例えば、約5ppm以上、約10ppm以上、約20ppm以上、約30ppm以上、約40ppm以上または約50ppm以上含み得る。あるいは、またはさらに、研磨組成物は、約500ppm以下、例えば、約450ppm以下、約400ppm以下、約350ppm以下、約300ppm以下、約250ppm以下、約200ppm以下、約150ppm以下または約100ppm以下のスルホン酸ポリマーまたはコポリマーを含み得る。よって、研磨組成物は、上記端点の任意の2つによって制限された量のスルホン酸ポリマーまたはコポリマーを含み得る。例えば、研磨組成物は、約1ppm~約500ppm、約5ppm~約450ppm、約10ppm~約400ppm、約10ppm~約350ppm、約10ppm~約300ppm、約10ppm~約250ppm、約10ppm~約200ppm、約20ppm~約300ppm、約20ppm~約250ppm、約20ppm~約200ppm、約20ppm~約150ppm、約20ppm~約100ppm、約10ppm~約100ppm、約10ppm~約90ppm、約10ppm~約80ppm、約10ppm~約70ppm、約10ppm~約60ppm、約10ppm~約50ppm、または約10ppm~約40ppmのスルホン酸ポリマーまたはコポリマーを含み得る。
特定の実施形態では、研磨組成物はさらに酸化剤を含む。酸化剤は任意の適切な酸化剤であり得る。望ましいことに、酸化剤は、炭化ケイ素を含む基板を研磨するために使用された場合に炭化ケイ素除去速度を増加させる。好適な酸化剤の非限定的な例は過酸化水素である。
研磨組成物は任意の好適な量の酸化剤を含み得る。例えば、研磨組成物は約0.1質量%~約5質量%の酸化剤(例えば、約0.5質量%~約3質量%の酸化剤)を含み得る。
研磨組成物は水を含む。水は任意の好適な水であり得、例えば、脱イオン水または蒸留水であり得る。いくつかの実施形態では、研磨組成物はさらに、水と組み合わせて1つ以上の有機溶媒を含み得る。例えば、研磨組成物はさらに、ヒドロキシル溶媒、例えばメタノールまたはエタノール、ケトン溶媒、アミド溶媒、スルホキシド溶媒などを含み得る。好ましくは、研磨組成物は純水を含む。
研磨組成物のpHは約2~約5である。よって、研磨組成物のpHは約2以上、例えば、約2.2以上、約2.4以上、約2.6以上、約2.8以上、約3.0以上、約3.2以上または約3.4以上であり得る。あるいは、またはさらに、研磨組成物のpHは約5以下、例えば、約4.8以下、約4.6以下、約4.4以下、約4.2以下または約4.0以下であり得る。よって、研磨組成物は、上記端点の任意の2つによって制限されたpHを有し得る。例えば、研磨組成物のpHは約2~約5、例えば、約2.2~約5、約2.2~約4.8、約2.4~約4.8、約2.4~約4.6、約2.4~約4.4、約2.4~約4.2、または約2.6~約4.0であり得る。
研磨組成物のpHは、任意の好適な酸または塩基を使用して調整され得る。好適な酸の非限定的な例としては、硝酸、硫酸、リン酸ならびに、ギ酸及び酢酸などの有機酸が挙げられる。好適な塩基の非限定的な例としては、水酸化ナトリウム、水酸化カリウム及び水酸化アンモニウムが挙げられる。
研磨組成物は場合によってさらに緩衝剤を含む。緩衝剤は、研磨組成物を本明細書中で列挙されるpHに維持することができる任意の好適な緩衝剤であり得る。好適な緩衝剤の非限定的な例としては、ギ酸、マロン酸、酢酸、シュウ酸、クエン酸及びリン酸が挙げられる。
化学機械研磨組成物は場合によってさらに1つ以上の添加剤を含む。添加剤の例としては、調整剤、酸(例えばスルホン酸)、錯化剤(例えばアニオン性ポリマー錯化剤)、キレート剤、殺生物剤、スケール抑制剤、分散剤などが挙げられる。
殺生物剤は、存在している場合、任意の好適な殺生物剤であり得、任意の好適な量で研磨組成物中に存在し得る。好適な殺生物剤はイソチアゾリノン殺生物剤である。研磨組成物中の殺生物剤の量は典型的には約1ppm~約500ppm、好ましくは約10ppm~約125ppmである。
特定の実施形態では、研磨組成物は、ピペラジン化合物、4-モルホリン化合物、アミノスルホン酸化合物、置換型アミン化合物、第三級アミン化合物、もしくはビスアミン化合物、またはそれらの塩を含有しない。本明細書中で使用する場合、「含有しない」という語句は、列挙した化合物を研磨組成物が痕跡汚染物量でしか含んでいないことを意味し、当該量は、研磨中に研磨組成物によって得ることができるSiC除去速度、SiN除去速度またはSiO除去速度のどれに影響を与えるにも不十分な量である。特定の実施形態では、研磨組成物は、置換型4-モルホリン誘導体、例えば、3-(N-モルホリノ)プロパンスルホン酸(MOPS)、4-モルホリンエタンスルホン酸(MES)、β-ヒドロキシ-4-モルホリンプロパンスルホン酸(MOPSO)、及びそれらの組み合わせを含有しない。特定の実施形態では、研磨組成物は、アミノスルホン酸、例えば、2-[(2-ヒドロキシ-1,1-ビス(ヒドロキシメチル)エチル)アミノ]エタンスルホン酸(TES)、N-[トリス(ヒドロキシメチル)メチル]-3-アミノプロパンスルホン酸(TAPS)、N-トリス(ヒドロキシメチル)メチル-4-アミノブタンスルホン酸(TABS)、N-(2-アセトアミド)-2-アミノエタンスルホン酸(ACES)、N,N-ビス(2-ヒドロキシエチル)-2-アミノエタンスルホン酸(BES)、3-(シクロヘキシルアミノ)-1-プロパンスルホン酸(CAPS)、2-(シクロヘキシルアミノ)エタンスルホン酸(CHES)、及びそれらの組み合わせを含有しない。特定の実施形態では、研磨組成物は、置換型アミン化合物、例えば、2-ヒドロキシ-3-[トリス(ヒドロキシメチル)メチルアミノ]-1-プロパンスルホン酸(TAPSO)、N-[トリス(ヒドロキシメチル)メチル]グリシン(TRICINE)、N,N-ビス(2-ヒドロキシエチル)グリシン(BICINE)、N-(2-アセトアミド)イミノ二酢酸(ADA)、2,2-ビス(ヒドロキシメチル)-2,2’,2’’-ニトリロトリエタノール(BIS-TRIS)、3-(シクロヘキシルアミノ)-2-ヒドロキシ-1-プロパンスルホン酸(CAPSO)、3-(N,N-ビス[2-ヒドロキシエチル]アミノ)-2-ヒドロキシプロパンスルホン酸(DIPSO)、及びそれらの組み合わせを含有しない。特定の実施形態では、研磨組成物は、置換型ビスアミン化合物、例えば、1,3-ビス[トリス(ヒドロキシメチル)メチルアミノ]プロパン(BIS-TRIS PROPANE)を含有しない。
研磨組成物は任意の好適な技法で調製することができ、その多くは当業者に知られている。研磨組成物はバッチまたは連続プロセスで調製することができる。一般に、研磨組成物は、その成分を任意の順序で合わせることによって調製することができる。本明細書中で使用する「成分」という用語は、個々の原料(例えば、シリカ粒子、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、任意選択の酸化剤、任意選択のpH調整剤など)を含むだけでなく、原料(例えば、シリカ粒子、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、任意選択の酸化剤、任意選択のpH調整剤など)の任意の組み合わせも含む。
例えば、シリカ粒子を水中に分散させることができる。その後、成分を研磨組成物中に組み込むことができる任意の方法でスルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、及び任意選択の酸化剤を添加及び混合することができる。酸化剤は研磨組成物の調製中の任意の時間に添加することができる。研磨組成物は使用前に調製することができ、1つ以上の成分、例えば酸化剤は、使用直前(例えば、使用前の約1分以内または使用前の約1時間以内または使用前の約7日間以内)に研磨組成物に添加することができる。研磨組成物はまた、研磨操作中に基板の表面で成分を混合することによって調製することもできる。
研磨組成物は、シリカ粒子、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、任意選択の酸化剤、任意選択のpH調整剤、及び水を含む単一パッケージシステムとして供給され得る。あるいは、シリカ粒子を水分散体として第1容器内に入れて供給することができ、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、任意選択の酸化剤、及び任意選択のpH調整剤を乾燥形態で、あるいは水溶液または水分散体として、第2容器に入れて供給することができる。酸化剤は、望ましくは、研磨組成物の他成分とは別に供給され、使用の少し前(例えば、使用前1週間以内、使用前1日以内、使用前1時間以内、使用前10分以内または使用前1分以内)に例えば最終使用者によって研磨組成物の他成分と合わせられる。第1または第2容器内の成分を乾燥形態とすることができる一方、他の容器に入った成分を水性分散体の形態とすることができる。さらに、第1及び第2容器内の成分は、異なるpH値を有するかあるいは、実質的に類似するかまたは等しくさえあるpH値を有することが好適である。研磨組成物のその他の容器2個または容器3個以上での成分の組み合わせは当業者の知識の範囲内である。
本発明の研磨組成物は、使用前に適量の水で希釈することが意図された濃縮物として提供されることもできる。そのような実施形態では、研磨組成物濃縮物は、濃縮物を適量の水と、既に適量で存在していない場合の酸化剤とで希釈したときに研磨組成物の各成分が上に列挙した各成分の適切な範囲内の量で研磨組成物中に存在することになるような量の、シリカ粒子、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、任意選択のpH調整剤、場合によって存在する任意選択の酸化剤を含み得る。例えば、シリカ粒子、スルホン酸ポリマーまたはコポリマー、任意選択の緩衝剤、及び任意選択のpH調整剤はそれぞれ、各成分について上に列挙した濃度よりも約2倍(例えば、約3倍、約4倍または約5倍)高い濃度で存在し得、その結果、濃縮物を好適な量の任意選択の酸化剤と一緒に等体積の水(例えば、それぞれ2倍等体積の水、3倍等体積の水、または4倍等体積の水)で希釈したときに各成分は、各成分について上に記した範囲内の量で研磨組成物中に存在することになる。さらに、当業者には理解されるであろうが、濃縮物は、他成分が少なくとも部分的または完全に濃縮物中に溶解することを確保するために、最終研磨組成物中に存在する水を適切な割合で含有し得る。
本発明はさらに、基板を化学機械研磨する方法であって、(i)基板を提供することを含み、基板が、炭化ケイ素層を基板の表面上に含むものであり;(ii)研磨パッドを提供すること;(iii)(a)シリカ粒子、(b)スルホン酸モノマー単位を含むポリマー及び(c)水を含む研磨組成物を提供することを含み、研磨組成物のpHが約2~約5であり;(iv)基板を研磨パッド及び研磨組成物と接触させること;ならびに(v)研磨パッド及び研磨組成物を基板に対して相対的に移動させて基板の表面上の炭化ケイ素層の少なくとも一部を研削して基板を研磨することを含む、当該方法を提供する。
化学機械研磨組成物は、任意の好適な基板を研磨するために使用することができ、とりわけ、炭化ケイ素を含む少なくとも1つの層(典型的には表面層)を含む基板を研磨するのに有用である。好適な基板には、半導体産業で使用されるウェハが含まれる。ウェハは典型的には例えば金属、金属酸化物、金属窒化物、金属複合材、金属合金、低誘電率材料またはそれらの組み合わせを含むかまたはそれらからなる。本発明の方法は、炭化ケイ素、窒化ケイ素及び/または酸化ケイ素、例えば、上記材料のうちの任意の1つ、2つまたは特に3つ全てを含む基板を研磨するのに特に有用である。好ましい実施形態では、基板の表面に炭化ケイ素層を含んでいる基板を研磨するために化学機械研磨組成物を使用することができる。
特定の実施形態では、基板は、炭化ケイ素を窒化ケイ素及び/または酸化ケイ素と組み合わせて含む。炭化ケイ素は、任意の好適な炭化ケイ素であり得、その多くの形態は当技術分野で知られている。炭化ケイ素は任意の好適なポリタイプを有し得る。窒化ケイ素は任意の好適な窒化ケイ素であり得る。酸化ケイ素も同様に任意の好適な酸化ケイ素であり得、その多くの形態は当技術分野で知られている。酸化ケイ素の好適な種類としては、限定されないが例えば、TEOS、ホウリンケイ酸ガラス(BPSG)、PETEOS、熱酸化物、未ドープケイ酸ガラス、及びHDP酸化物が挙げられる。
本発明の化学機械研磨組成物は、望ましいことに、炭化ケイ素を含む基板を本発明の方法に従って研磨する場合に高い除去速度を発揮する。例えば、炭化ケイ素を含むシリコンウェハを本発明の実施形態に従って研磨する場合、研磨組成物は、望ましいことに、約500Å/分以上、約700Å/分以上、約800Å/分以上、約900Å/分以上、約1,000Å/分以上、約1,100Å/分以上、約1,250Å/分以上、約1,500Å/分以上、約1,750Å/分以上、または約2,000Å/分以上の炭化ケイ素除去速度を発揮する。
本発明の化学機械研磨組成物は、望ましいことに、窒化ケイ素を含む基板を本発明の方法に従って研磨する場合に低い除去速度を呈する。例えば、窒化ケイ素を含むシリコンウェハを本発明の実施形態に従って研磨する場合、研磨組成物は、望ましいことに、約200Å/分以下、例えば、約150Å/分以下、約100Å/分以下、約90Å/分以下、約80Å/分以下、約70Å/分以下、約60Å/分以下、約50Å/分以下、約40Å/分以下、さらには約30Å/分以下の窒化ケイ素の除去速度を呈する。かくして、炭化ケイ素層と窒化ケイ素層とを含む基板を研磨するために使用する場合に研磨組成物は、望ましいことに、窒化ケイ素層よりも炭化ケイ素層を研磨する選択性を発揮する。第2材料よりも第1材料を研磨する選択性は、第1材料と第2材料との除去速度の比率として定義することができる。第1材料の除去速度が第2材料の除去速度よりも大きい場合、研磨組成物は、第1材料の除去に選択性を呈するとみなすことができる。
本発明の化学機械研磨組成物は、望ましいことに、酸化ケイ素を含む基板を本発明の方法に従って研磨する場合に低い除去速度を呈する。例えば、高密度プラズマ(HDP)酸化物、プラズマ強化テトラエチルオルトシリケート(PETEOS)及び/またはテトラエチルオルトシリケート(TEOS)などの酸化ケイ素を含むシリコンウェハを本発明の実施形態に従って研磨する場合、研磨組成物は、望ましいことに、約200Å/分以下、例えば、約150Å/分以下、約100Å/分以下、約90Å/分以下、約80Å/分以下、約70Å/分以下、約60Å/分以下、約50Å/分以下、約40Å/分以下、さらには約30Å/分以下の酸化ケイ素の除去速度を呈する。かくして、炭化ケイ素層と酸化ケイ素層とを含む基板を研磨するために使用する場合に研磨組成物は、望ましいことに、酸化ケイ素層よりも炭化ケイ素層を研磨する選択性を発揮する。
本発明の化学機械研磨組成物は、特定の薄層材料に対して選択的となる所望の研磨範囲での効果的な研磨を提供すると同時に表面の不完全性、欠陥、腐食、侵食、及び停止層の除去を最小限に抑えるように、適合させることができる。選択性は、研磨組成物の成分の相対濃度を変化させることによってある程度制御することができる。望ましい場合には、本発明の化学機械研磨組成物は、窒化ケイ素に対する炭化ケイ素の研磨選択性が約5:1以上(例えば、約10:1以上、約15:1以上、約25:1以上、約50:1以上、約100:1以上、または約150:1以上)である基板を研磨するために使用することができる。また、本発明の化学機械研磨組成物を使用して酸化ケイ素に対する炭化ケイ素の約5:1以上(例えば、約10:1以上、約15:1以上、約25:1以上、約50:1以上、約100:1以上、または約150:1以上)の研磨選択性で基板を研磨することができる。このように、実施形態では、研磨組成物及び研磨方法は、少なくとも1つの炭化ケイ素層と、少なくとも1つの窒化ケイ素層及び/または少なくとも1つの酸化ケイ素層とを含む基板を研磨するために用いられる場合、窒化ケイ素及び/または酸化ケイ素の除去に比べて炭化ケイ素の除去を優先的に行うことを可能にする。
本発明の化学機械研磨組成物及び方法は特に、化学機械研磨装置と一緒に用いるのに適している。典型的には、装置は、使用時に運動して公転運動、線形運動または円運動によって生じる速度を有する定盤と、定盤に接触して運動時に定盤と共に移動する研磨パッドと、基板に接触すること及び研磨パッドの表面に対して相対的に基板を移動させることによって研磨基板を保持する担体とを含む。基板の研磨は、基板が研磨パッド及び本発明の研磨組成物に接触して配置されていること、ならびにその後に基板の表面の少なくとも一部を研削して基板を研磨すべく研磨パッドが基板に対して相対的に移動することによって起こる。
任意の好適な研磨パッド(例えば研磨面)を使用して基板を化学機械研磨組成物で研磨することができる。好適な研磨パッドとしては、例えば、織布及び不織布研磨パッドが挙げられる。さらに、好適な研磨パッドは、様々な密度、硬さ、厚み、圧縮性、圧縮時の反発能、及び圧縮係数を有する任意の好適なポリマーを含み得る。好適なポリマーとしては、例えば、ポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フルオロカーボン、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、それらの共形成生成物、及びそれらの混合物が挙げられる。軟質ポリウレタン研磨パッドは本発明の研磨方法との関連において特に有用である。典型的なパッドとしては、限定されないが、SURFIN(商標)000、SURFIN(商標)SSW1、SPM3100(例えば、Eminess Technologiesによって市販されている)、POLITEX(商標)、及びFujibo POLYPAS(商標)27(Fujibo H7000、H800、H600、H804など。これらの例が本当に必要であるかは定かでない)が挙げられる。
化学機械研磨装置はさらに原位置研磨完了検出システムを含むことが望ましく、その多くは当技術分野で知られている。研磨されている基板の表面から反射される光またはその他の放射線を分析することによって研磨プロセスを検査及び監視する技法は当技術分野で知られている。そのような方法は、例えば、米国特許第5,196,353号、米国特許第5,433,651号、米国特許第5,609,511号、米国特許第5,643,046号、米国特許第5,658,183号、米国特許第5,730,642号、米国特許第5,838,447号、米国特許第5,872,633号、米国特許第5,893,796号、米国特許第5,949,927、及び米国特許第5,964,643号に記載されている。研磨されている基板に関する研磨プロセスの進行の検査または監視は、研磨完了の判定、すなわち特定の基板に関して研磨プロセスをいつ終わらせるべきかについての判定を可能にすることが望ましい。
以下の実施例は本発明をさらに例証するものであるが、当然ながら決してその範囲を限定するものと解釈されるべきでない。
実施例1
この実施例は、アルミニウムイオンを含有するシリカ粒子とスルホン酸ポリマーまたはコポリマーとを含む研磨組成物が呈する炭化ケイ素(SiC)と窒化ケイ素(SiN)との除去速度を比較する。
SiC及びSiNのブランケット層を含む別個の基板を異なる9種の研磨組成物、すなわち研磨組成物1A~1Iで研磨した。研磨組成物1A~1Iは各々、1.0質量%のNalco TX13573シリカ、120ppmの緩衝剤、2質量%の過酸化水素、及び15ppmのKordek(商標)殺生物剤(Dow Chemical,Midland,MI)をpH3.5の水中に含んでいた。研磨組成物1A~1Iはさらに、表1に記すスルホン酸ポリマーまたはコポリマー及び緩衝剤を含んでいた。
Figure 0007148521000001
研磨の後、除去速度を決定した。結果を表2に記す。
Figure 0007148521000002
表2に記す結果から明らかなように、本発明の研磨組成物1B~1Gは、望ましいことに、良好なSiC除去速度、及びおよそ5.0~32.4のSiC/SiN選択性を発揮した。選択性は、SiNに対するSiCの除去速度の比率を決定することによって算出される。ジオクチルスルホスクシナートを含有していた研磨組成物1Hは、対照研磨組成物1Aと比較してより低いSiC除去速度及びより低いSiC/SiN選択性を呈した。比較用研磨組成物1Iにおいてジオクチルスルホスクシナートの量を250ppmに増やすこと(つまり、単量体スルホン酸の量を増やすこと)によって、SiC/SiN選択性は比較用研磨組成物1Hと比較して向上したが、SiC除去速度はかえって著しく低下した。
実施例2
この実施例は、アルミニウムイオンを含有するシリカ粒子と過酸化水素とを含む研磨組成物が呈するSiC、SiN及び酸化ケイ素(SiO)の除去速度に対してポリスチレンスルホン酸(すなわちスルホン酸ポリマー)の量が与える影響を実証する。
SiC、SiN及びSiOのブランケット層を含む別個の基板を異なる5種の研磨組成物、すなわち研磨組成物2A~2Eで研磨した。研磨組成物2A~2Eは各々、1.0質量%のNalco TX13573シリカ、120ppmのギ酸(すなわち緩衝剤)、2質量%の過酸化水素、及び15ppmのKordek(商標)殺生物剤をpH3.5の水中に含んでいた。研磨組成物2B~2Eはさらに、ポリスチレンスルホン酸(PSA)を表3に記す量で含有していた。
研磨の後、SiC、SiN及びSiOの除去速度を決定した。結果を表3に記す。
Figure 0007148521000003
表3に記す結果から明らかなように、研磨組成物2B~2Eにポリスチレンスルホン酸を添加することはSiOの除去速度に対してほとんど影響を与えなかった。ポリスチレンスルホン酸の濃度を0ppmから25ppmに高めることによってSiC/SiN選択性がおよそ1.6からおよそ22.1に向上した一方、SiC除去速度はおよそ6.7%低下した。ポリスチレンスルホン酸の濃度を35ppmに高めることによってSiC/SiN選択性がおよそ58.1となったが、SiC除去速度は、ポリスチレンスルホン酸を全く含んでいない対照研磨組成物2Aが呈した除去速度のおよそ71.4%に低下した。かくして、5~35ppmのポリスチレンスルホン酸の存在は、良好なSiC除去速度を維持しながらSiC/SiN選択性の望ましい向上をもたらした。ポリスチレンスルホン酸の量を35ppmに増やした場合、SiC/SiN選択性は向上したが、SiC除去速度は低下した。
実施例3
この実施例は、アルミニウムイオンを含有するシリカ粒子とスルホン酸ポリマーまたはコポリマーとを含む研磨組成物が呈するSiC、SiN及びSiOの除去速度に対してpHが与える影響を実証する。
SiC、SiN及びSiOのブランケット層を含む別個の基板を異なる7種の研磨組成物、すなわち研磨組成物3A~3Gで研磨した。研磨組成物3A~3Gは各々、1.0質量%のNalco TX13573シリカ、25ppmのポリスチレンスルホン酸(すなわちスルホン酸ポリマー)、120ppmのギ酸(すなわち緩衝剤)、2質量%の過酸化水素、及び15ppmのKordek(商標)殺生物剤を水中に含んでいた。研磨組成物3A~3GのpH値を表4に報告する。
研磨の後、SiC、SiN及びSiOの除去速度を決定した。結果を表4に記す。
Figure 0007148521000004
表4に記す結果から明らかなように、SiC除去速度はpH2.5からpH5.0までは高いままであったが、pH6.0でのSiC除去速度は、pH5.0での除去速度のおよそ45%であった。SiC/SiN選択性は、pH2.5での24.3から、pH4.5での47.0にまで及んだが、pH6.0では18.0であった。SiC/SiO選択性は、pH2.5での3.8から、pH3.0での7.5にまで上昇し、pH5.0での13.4から、pH6.0での6.0にまで低下した。したがって、これらの実施形態では、SiC/SiN選択性は3.0~5.0のpH範囲において最適化された。pH値2.5でのSiO除去速度は、pH値3.0で認められた除去速度の2倍超であった。pH値6.0でのSiN除去速度は、pH5.0で認められたSiN除去速度の半分未満であった。
実施例4
この実施例は、スルホン酸ポリマーまたはコポリマーと緩衝剤とをさらに含む研磨組成物が呈するSiC、SiN及びSiOの除去速度に対してシリカ粒子が与える影響を実証する。
SiC、SiN及びSiOのブランケット層を含む別個の基板を異なる7種の研磨組成物、すなわち研磨組成物4A~4Gで研磨した。研磨組成物4A~4Gは各々、25ppmのポリスチレンスルホン酸(すなわちスルホン酸ポリマー)、120ppmのギ酸(すなわち緩衝剤)、2質量%の過酸化水素、及び15ppmのKordek(商標)殺生物剤をpH3.5の水中に含んでいた。研磨組成物4A~4Gの各々はさらに、表5に列挙する種類のシリカを1.0質量%含んでいた。CMC WL-83Aは、米国公開出願第2016/0222254号に記載されているような、アルミニウムイオンで表面改質されたコロイド状シリカ粒子である。
研磨の後、SiC、SiN及びSiOの除去速度を決定した。結果を表5に記す。
Figure 0007148521000005
表5に記す結果から明らかなように、Nalco TX13573シリカ粒子を含有する研磨組成物4Aは最も高いSiC/SiN選択性を発揮した。Nissan ST-OZL-35シリカ粒子を含有する研磨組成物4Fは、最も高いSiC除去速度を発揮した。Nissan ST-PSMOシリカを含有する研磨組成物4Gは、最も高いSiC/SiO選択性を発揮した。これらの結果は、SiC、SiN及びSiOの除去速度ならびにSiC/SiN及びSiC/SiO選択性が特定のシリカ粒子の使用によって調整され得ることを実証している。
本明細書中で引用される、刊行物、特許出願及び特許を含めた全ての参考文献は、これをもって参照により、各参考文献を参照により援用することを個別かつ具体的に示しその全体を本明細書中に記した場合と同じ程度に援用される。
本発明の記載に関して(特に、以下の特許請求の範囲に関して)「a」及び「an」及び「the」及び「少なくとも1つ」という用語ならびに同様の指示対象の使用は、本明細書中での別段の指示、または文脈から明らかな否定がなされていない限り、単数形及び複数形を両方とも包含すると解釈されるべきである。1つ以上の項目の列挙を伴う「少なくとも1つ」(例えば、「A及びBのうちの少なくとも1つ」)という用語の使用は、本明細書中での別段の指示、または文脈から明らかな否定がなされていない限り、列挙項目(AまたはB)から選択される1つの項目、または列挙項目の2つ以上の任意の組み合わせ(A及びB)を意味すると解釈されるべきである。「含む(comprising)」、「有する」、「含む(including)」及び「含有する」という用語は、別段の注釈がなされていない限り、オープンエンド形式の用語として(つまり、「含むが限定はされない」という意味で)解釈されるべきである。本明細書中での値の範囲の列挙は、本明細書において別段の指示がなされていない限り、単に範囲内に入る各個々の値に個別に言及する手短な方法としての役割を果たすことを意図したものに過ぎず、各個々の値は、あたかもそれを本明細書中で個別に列挙したかのように本明細書に援用される。本明細書に記載の全ての方法は、本明細書中での別段の指示、あるいは文脈から明らかな否定がなされていない限り、任意の好適な順序で実施することができる。本明細書中で提供するありとあらゆる例または例示表現(例えば、「など」)の使用は、単に本発明をよりよく例示することを意図したものに過ぎず、別段の特許請求がなされていない限り本発明の範囲に限定を加えるものではない。明細書中のいかなる表現も、特許請求されていない何らかの要素が本発明の実施に必須であると示すものとして解釈されるべきでない。
本明細書中には、本発明を実施するために本発明者らが知っている最良の形態を含めた本発明の好ましい実施形態が記載されている。それらの好ましい実施形態の変化形態は、上記の記載を読むことによって当業者に明らかとなり得る。本発明者らは、当業者が適宜そのような変化形態を採用するということを予期しており、本発明者らは、本明細書中に具体的に記載されている以外の形態で本発明が実施されることも企図している。したがって、本発明は、適用される法律によって許可されるように、本明細書に添付する特許請求の範囲の中で列挙する主題の全ての改変形態及び均等物を含む。さらに、本明細書中での別段の指示、あるいは文脈から明らかな否定がなされていない限り、可能なあらゆる変化形態において上記要素の任意の組み合わせが本発明に包含される。

Claims (15)

  1. 基板(但し、窒化チタン(TiN)またはチタン/窒化チタン(Ti/TiN)バリア層を含む基板を除く)を化学機械研磨する方法であって、
    (i)基板を提供すること、前記基板は、炭化ケイ素層を前記基板の表面上に含むものである;
    (ii)研磨パッドを提供すること;
    (iii)
    (a)シリカ粒子、
    (b)ポリスチレンスルホン酸、ポリ(2-アクリルアミド-2-メチル-1-プロパンスルホン酸)及びポリ(スチレンスルホン酸-コ-マレイン酸)から選択され、そして75,000g/モル~200,000g/モルの平均分子量を有するスルホン酸モノマー単位を含むポリマー及び
    (c)水
    を含む研磨組成物を提供すること、前記研磨組成物のpHは2~5である;
    (iv)前記基板を前記研磨パッド及び前記研磨組成物と接触させること;ならびに
    (v)前記研磨パッド及び前記研磨組成物を前記基板に対して相対的に移動させて前記基板の表面上の前記炭化ケイ素層の少なくとも一部を研削して前記基板を研磨すること
    を含む、方法。
  2. 前記シリカ粒子がアルミニウムイオンを含み、前記アルミニウムイオンが前記シリカ粒子中に均質に分布している、請求項1に記載の方法。
  3. 前記シリカ粒子の平均粒径が40nm~60nmである、請求項1に記載の方法。
  4. スルホン酸モノマー単位を含む前記ポリマーがポリスチレンスルホン酸である、請求項1に記載の方法。
  5. 前記研磨組成物がさらに酸化剤を含む、請求項1に記載の方法。
  6. 前記研磨組成物がさらに緩衝剤を含む、請求項1に記載の方法。
  7. 前記基板がさらに、窒化ケイ素層を前記基板の表面上に含み、
    前記基板の表面上の前記窒化ケイ素層の少なくとも一部を研削して前記基板を研磨する、請求項1に記載の方法。
  8. 前記基板がさらに、酸化ケイ素層を前記基板の表面上に含み、
    前記基板の表面上の前記酸化ケイ素層の少なくとも一部を研削して前記基板を研磨する、請求項1に記載の方法。
  9. 炭化ケイ素層を基板の表面上に含む基板(但し、窒化チタン(TiN)またはチタン/窒化チタン(Ti/TiN)バリア層を含む基板を除く)のための化学機械研磨組成物であって、
    (a)アルミニウムイオンを含有するシリカ粒子、前記アルミニウムイオンは前記シリカ粒子中に均質に分布しており、前記シリカ粒子の平均粒径が40nm~80nmである、
    (b)ポリスチレンスルホン酸、ポリ(2-アクリルアミド-2-メチル-1-プロパンスルホン酸)及びポリ(スチレンスルホン酸-コ-マレイン酸)から選択され、そして75,000g/モル~200,000g/モルの平均分子量を有するスルホン酸モノマー単位を含むポリマー、
    (c)緩衝剤及び
    (d)水
    を含み、前記化学機械研磨組成物のpHが2~5である、前記化学機械研磨組成物。
  10. 前記シリカ粒子の平均粒径が40nm~60nmである、請求項9に記載の化学機械研磨組成物。
  11. 前記シリカ粒子が実質的に球状である、請求項9に記載の化学機械研磨組成物。
  12. 前記緩衝剤が、ギ酸、マロン酸、酢酸、シュウ酸、クエン酸及びリン酸から選択される、請求項9に記載の化学機械研磨組成物。
  13. 前記研磨組成物がさらに酸化剤を含む、請求項9に記載の化学機械研磨組成物。
  14. 前記酸化剤が過酸化水素である、請求項13に記載の化学機械研磨組成物。
  15. 前記化学機械研磨組成物が、ピペラジン化合物、4-モルホリン化合物、アミノスルホン酸化合物、置換型アミン化合物、第三級アミン化合物、もしくはビスアミン化合物、またはそれらの塩を含有しない、請求項9に記載の化学機械研磨組成物。
JP2019536830A 2017-01-05 2017-12-21 炭化ケイ素を研磨するための組成物及び方法 Active JP7148521B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/398,933 US10294399B2 (en) 2017-01-05 2017-01-05 Composition and method for polishing silicon carbide
US15/398,933 2017-01-05
PCT/US2017/067947 WO2018128849A1 (en) 2017-01-05 2017-12-21 Composition and method for polishing silicon carbide

Publications (2)

Publication Number Publication Date
JP2020505756A JP2020505756A (ja) 2020-02-20
JP7148521B2 true JP7148521B2 (ja) 2022-10-05

Family

ID=62711177

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019536830A Active JP7148521B2 (ja) 2017-01-05 2017-12-21 炭化ケイ素を研磨するための組成物及び方法

Country Status (7)

Country Link
US (2) US10294399B2 (ja)
EP (1) EP3565862A4 (ja)
JP (1) JP7148521B2 (ja)
KR (1) KR102582406B1 (ja)
CN (1) CN110168034B (ja)
TW (1) TW201829677A (ja)
WO (1) WO2018128849A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7122097B2 (ja) * 2017-10-24 2022-08-19 山口精研工業株式会社 磁気ディスク基板用研磨剤組成物
US20220243093A1 (en) * 2019-06-17 2022-08-04 Fujimi Incorporated Polishing composition
JP2023538826A (ja) * 2020-08-03 2023-09-12 シーエムシー マテリアルズ リミティド ライアビリティ カンパニー 二酸化チタン含有ルテニウム化学機械研磨スラリー

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030211815A1 (en) 2002-05-10 2003-11-13 Cabot Microelectronics Corporation Compositions and methods for dielectric CMP
JP2005513765A (ja) 2001-12-05 2005-05-12 キャボット マイクロエレクトロニクス コーポレイション ポリマー性錯体形成剤を使用する銅cmpのための方法
JP2014168067A (ja) 2014-03-25 2014-09-11 Asahi Glass Co Ltd 非酸化物単結晶基板の研磨方法
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
JP2015201644A (ja) 2014-04-04 2015-11-12 フジフイルム プラナー ソリューションズ エルエルシー シリコン酸化膜上のシリコン窒化膜を選択的に研磨するための研磨組成物及び方法
WO2016126458A1 (en) 2015-02-03 2016-08-11 Cabot Microelectronics Corporation Cmp composition for silicon nitride removal
JP2016194003A (ja) 2015-03-31 2016-11-17 株式会社フジミインコーポレーテッド 研磨物の製造方法
US20160358790A1 (en) 2015-06-05 2016-12-08 Air Products And Chemicals, Inc. Barrier Chemical Mechanical Planarization Slurries Using Ceria-Coated Silica Abrasives

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5964643A (en) 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5838447A (en) 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5872633A (en) 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5876490A (en) 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
SG78405A1 (en) * 1998-11-17 2001-02-20 Fujimi Inc Polishing composition and rinsing composition
WO2001044396A1 (en) * 1999-12-14 2001-06-21 Rodel Holdings, Inc. Polishing compositions for noble metals
EP1252247A1 (en) * 1999-12-14 2002-10-30 Rodel Holdings, Inc. Polishing compositions for semiconductor substrates
US6299795B1 (en) * 2000-01-18 2001-10-09 Praxair S.T. Technology, Inc. Polishing slurry
US6964923B1 (en) 2000-05-24 2005-11-15 International Business Machines Corporation Selective polishing with slurries containing polyelectrolytes
DE10205280C1 (de) * 2002-02-07 2003-07-03 Degussa Dispersion zum chemisch-mechanischen Polieren
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US6811474B2 (en) * 2002-07-19 2004-11-02 Cabot Microelectronics Corporation Polishing composition containing conducting polymer
JP2004335978A (ja) * 2003-05-12 2004-11-25 Jsr Corp 化学機械研磨方法
US7427361B2 (en) 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
JP2006193695A (ja) * 2005-01-17 2006-07-27 Fujimi Inc 研磨用組成物
JP2008535672A (ja) * 2005-04-06 2008-09-04 アール・イー・エム・テクノロジーズ・インコーポレーテツド 高密度カーバイドの超仕上げ
CN101077961B (zh) * 2006-05-26 2011-11-09 安集微电子(上海)有限公司 用于精细表面平整处理的抛光液及其使用方法
US7678700B2 (en) 2006-09-05 2010-03-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
US7998866B2 (en) * 2006-09-05 2011-08-16 Cabot Microelectronics Corporation Silicon carbide polishing method utilizing water-soluble oxidizers
CN101490814A (zh) * 2006-10-06 2009-07-22 Jsr株式会社 化学机械研磨用水系分散体及半导体装置的化学机械研磨方法
JP2008177180A (ja) * 2007-01-16 2008-07-31 Ebara Corp 配線基板研磨用前処理液、研磨方法、配線基板製造方法及び配線基板製造装置
CN101179108A (zh) * 2007-12-12 2008-05-14 中国科学院长春应用化学研究所 基于浮栅结构的非易失性有机薄膜晶体管存储器及其制造方法
JP5441362B2 (ja) * 2008-05-30 2014-03-12 富士フイルム株式会社 研磨液及び研磨方法
CN102105267B (zh) * 2008-06-18 2016-08-03 福吉米株式会社 抛光组合物及利用该抛光组合物的抛光方法
US9548211B2 (en) * 2008-12-04 2017-01-17 Cabot Microelectronics Corporation Method to selectively polish silicon carbide films
MY154861A (en) * 2008-12-22 2015-08-14 Kao Corp Polishing liquid composition for magnetic-disk substrate
US8088690B2 (en) * 2009-03-31 2012-01-03 International Business Machines Corporation CMP method
US8247328B2 (en) * 2009-05-04 2012-08-21 Cabot Microelectronics Corporation Polishing silicon carbide
WO2011093153A1 (ja) 2010-02-01 2011-08-04 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法
US8961815B2 (en) * 2010-07-01 2015-02-24 Planar Solutions, Llc Composition for advanced node front-and back-end of line chemical mechanical polishing
JP5695367B2 (ja) 2010-08-23 2015-04-01 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
MY175638A (en) * 2010-09-08 2020-07-03 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectic and polysilicon films.
KR20140062107A (ko) * 2011-09-05 2014-05-22 아사히 가라스 가부시키가이샤 연마제 및 연마 방법
US8999193B2 (en) 2012-05-10 2015-04-07 Air Products And Chemicals, Inc. Chemical mechanical polishing composition having chemical additives and methods for using same
KR101612520B1 (ko) 2012-05-10 2016-04-14 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 화학 첨가제를 지닌 화학적 기계적 폴리싱 조성물 및 이를 사용하는 방법
JP5957292B2 (ja) 2012-05-18 2016-07-27 株式会社フジミインコーポレーテッド 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
US8859428B2 (en) 2012-10-19 2014-10-14 Air Products And Chemicals, Inc. Chemical mechanical polishing (CMP) composition for shallow trench isolation (STI) applications and methods of making thereof
CN104769073B (zh) * 2012-10-31 2017-03-08 福吉米株式会社 研磨用组合物
WO2014103725A1 (ja) * 2012-12-25 2014-07-03 Jsr株式会社 化学機械研磨用水系分散体および化学機械研磨方法
US9434859B2 (en) * 2013-09-24 2016-09-06 Cabot Microelectronics Corporation Chemical-mechanical planarization of polymer films
US20150114928A1 (en) * 2013-10-30 2015-04-30 Jia-Ni Chu Abrasive Particles for Chemical Mechanical Polishing
US9909032B2 (en) * 2014-01-15 2018-03-06 Cabot Microelectronics Corporation Composition and method for polishing memory hard disks
TWI611010B (zh) * 2014-08-29 2018-01-11 卡博特微電子公司 拋光藍寶石表面之組合物及方法
US9944828B2 (en) * 2014-10-21 2018-04-17 Cabot Microelectronics Corporation Slurry for chemical mechanical polishing of cobalt
EP3209815B1 (en) * 2014-10-21 2021-12-29 CMC Materials, Inc. Corrosion inhibitors and related compositions and methods
US9481811B2 (en) * 2015-02-20 2016-11-01 Cabot Microelectronics Corporation Composition and method for polishing memory hard disks exhibiting reduced edge roll-off

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005513765A (ja) 2001-12-05 2005-05-12 キャボット マイクロエレクトロニクス コーポレイション ポリマー性錯体形成剤を使用する銅cmpのための方法
US20030211815A1 (en) 2002-05-10 2003-11-13 Cabot Microelectronics Corporation Compositions and methods for dielectric CMP
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
JP2014168067A (ja) 2014-03-25 2014-09-11 Asahi Glass Co Ltd 非酸化物単結晶基板の研磨方法
JP2015201644A (ja) 2014-04-04 2015-11-12 フジフイルム プラナー ソリューションズ エルエルシー シリコン酸化膜上のシリコン窒化膜を選択的に研磨するための研磨組成物及び方法
WO2016126458A1 (en) 2015-02-03 2016-08-11 Cabot Microelectronics Corporation Cmp composition for silicon nitride removal
JP2016194003A (ja) 2015-03-31 2016-11-17 株式会社フジミインコーポレーテッド 研磨物の製造方法
US20160358790A1 (en) 2015-06-05 2016-12-08 Air Products And Chemicals, Inc. Barrier Chemical Mechanical Planarization Slurries Using Ceria-Coated Silica Abrasives

Also Published As

Publication number Publication date
US20180190506A1 (en) 2018-07-05
KR102582406B1 (ko) 2023-09-22
EP3565862A1 (en) 2019-11-13
KR20190095499A (ko) 2019-08-14
CN110168034B (zh) 2022-01-25
CN110168034A (zh) 2019-08-23
JP2020505756A (ja) 2020-02-20
EP3565862A4 (en) 2020-07-22
WO2018128849A1 (en) 2018-07-12
US10294399B2 (en) 2019-05-21
TW201829677A (zh) 2018-08-16
US20190241783A1 (en) 2019-08-08

Similar Documents

Publication Publication Date Title
JP6280254B2 (ja) 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
JP5519507B2 (ja) アミノシランを用いて処理した研磨剤粒子を利用する研磨組成物および研磨方法
KR101395542B1 (ko) 반도체 물질의 cmp를 위한 조성물 및 방법
JP7148521B2 (ja) 炭化ケイ素を研磨するための組成物及び方法
TWI747352B (zh) 化學機械拋光組合物及其使用方法
KR20160070092A (ko) 기판을 선택적으로 연마하기 위한 습식-공정 세리아 조성물, 및 이와 관련된 방법
JP7121696B2 (ja) 低酸化物トレンチディッシング化学機械研磨
JP2024505893A (ja) ホウ素ドープポリシリコンを研磨するための組成物及び方法
JP2022546584A (ja) ポリシリコンcmp用組成物および方法
JP6999714B2 (ja) シャロートレンチアイソレーション化学機械研磨スラリー
US20200308451A1 (en) Additives to improve particle dispersion for cmp slurry

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201126

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220304

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220412

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220727

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220727

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220805

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220823

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220922

R150 Certificate of patent or registration of utility model

Ref document number: 7148521

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350