JP6835957B2 - 多階層相互接続半導体ウエハ用の同軸コネクタフィードスルー - Google Patents

多階層相互接続半導体ウエハ用の同軸コネクタフィードスルー Download PDF

Info

Publication number
JP6835957B2
JP6835957B2 JP2019515441A JP2019515441A JP6835957B2 JP 6835957 B2 JP6835957 B2 JP 6835957B2 JP 2019515441 A JP2019515441 A JP 2019515441A JP 2019515441 A JP2019515441 A JP 2019515441A JP 6835957 B2 JP6835957 B2 JP 6835957B2
Authority
JP
Japan
Prior art keywords
insulating layer
bottom oxide
oxide insulating
trench isolation
deep trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019515441A
Other languages
English (en)
Other versions
JP2019530239A (ja
Inventor
ドラブ,ジョン,ジェイ.
テシバ,マリー,エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Raytheon Co
Original Assignee
Raytheon Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Raytheon Co filed Critical Raytheon Co
Publication of JP2019530239A publication Critical patent/JP2019530239A/ja
Application granted granted Critical
Publication of JP6835957B2 publication Critical patent/JP6835957B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • H01L2223/6622Coaxial feed-throughs in active or passive substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Tires In General (AREA)
  • Photoreceptors In Electrophotography (AREA)

Description

本開示は、概して、多階層(マルチレベル)の相互接続された半導体ウエハに関し、より具体的には、相互接続されたウエハ間で無線周波数(RF)エネルギーを相互接続するために使用される同軸コネクタに関する。
技術的に知られているように、一対の重なり合う接合された半導体ウエハ間で、例えば無線周波数(RF)エネルギー又はマイクロ波エネルギーなどの高周波エネルギーをカップリングすることが頻繁に望まれる。これは、時々、三次元(3D)集積と呼ばれており、例えば、Chen-Ta Ko及びKuan-Neng Chenによる“Reliability of key technologies in 3D integration”と題された論文(非特許文献1)、Enquist等による“Low Cost of Ownership Scalable Copper Direct Bond Interconnected 3D IC Technology for Three Dimensional Integrated Circuit Applications”と題された論文(非特許文献2)、P.Chang-Chien等による“MMIC Compatible Wafer-Level Packaging Technology”と題された論文(非特許文献3)を参照されたい。
また、技術的に知られているように、数多くの用途において、Ho等による“Development of Coaxial Shield Via in Silicon Carrier for High Frequency Application”と題された論文(非特許文献4)に記載されているように、3D集積においてはシリコンキャリアウエハを貫く同軸シールドを設けることが望ましい。
また、技術的に知られているように、Arjun Kar-Roy等の“Recent developments using TowerJazz SiGe BiCMOS platform for mmWave and THz applications”と題された論文(非特許文献5)は、シリコンゲルマニウム(SiGe)BiCMOS技術で形成した無線周波数ビアを報告している。また、2014年2月27日に公開されたHurwitz及びPaul D.を出願人とする“Isolated Through Silicon Vias in RF Technologies”と題された米国特許出願公開第2014/0054743号(特許文献1)も参照されたい。
また、技術的に知られているように、大きい直径の銅充填ビアが、比較的厚いシリコン層を貫いて形成されている。これは、これらの高周波エネルギーでは、シリコン基板の導電性のために高い損失をもたらす。使用されている他の方法は、小さいタングステン充填ビアの使用を含むが、この方法は高密度3Dインターコネクトには良いものの、低い高周波エネルギー損失を有するビアを作り出すのに十分なほどには電界を閉じ込めない。
米国特許出願公開第2014/0054743号明細書
Chen-Ta Ko,Kuan-Neng Chen,"Reliability of key technologies in 3D integration",Microelectronics Reliability 53(2013) 7-17 Enquist等,"Low Cost of Ownership Scalable Copper Direct Bond Interconnected 3D IC Technology for Three Dimensional Integrated Circuit Applications",978-1-4244-4512 2009 IEEE P.Chang-Chien等,"MMIC Compatible Wafer-Level Packaging Technology",2007 International Conference on Indium Phosphide and Related Materials,2007年5月18日,松江,日本国 Ho等,"Development of Coaxial Shield Via in Silicon Carrier for High Frequency Application",2006 Electronics Packaging Technology Conference,pp.825-830 Arjun Kar-Roy等,"Recent developments using TowerJazz SiGe BiCMOS platform for mmWave and THz applications",Passive and Active Millimeter-Wave Imaging XVI,David A. Wikner,Arttu R.Luukanen監修,Proc.of SPIE Vol.8715,871505,2013 SPIE,CCCコード:0277-786X/13/$18 doi:10.1117/12.1518475
本開示によれば、底部酸化物(BOX)絶縁層上に配置されたシリコン層を有する半導体シリコン・オン・オキサイド(SOI)構造が提供される。ディープトレンチアイソレーション(DTI)材料が、底部酸化物絶縁層までシリコン層を垂直に貫通する。ディープトレンチアイソレーション材料は、シリコンの誘電率よりも低い誘電率を有する。内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路が、底部酸化物絶縁層の上に配置された導電体を、底部酸化物絶縁層の下に配置された導電体に電気的に接続するように、ディープトレンチアイソレーション材料を垂直に貫通する。
本発明者が認識したことには、同軸伝送線路が、シリコンを貫通するのではなく、それよりも低誘電率の底部酸化物絶縁層を貫通することにより、底部酸化物絶縁層が、内側導電体と側導電体シールド構造との間にいっそう低損失の誘電体を提供することになるので、底部酸化物絶縁層を貫通するとき、シリコン層を貫通するときよりも信号伝送損失が少なくなる。さらに、本発明者が認識したことには、DTI材料を貫通する同軸伝送線路を使用することは、シリコン層内に形成される集積回路の機能密度を最大化するために、非常に薄いシリコン層を使用することを可能にするとともに、シリコンと比較して非常に低い導電率及び損失正接を有する酸化物((DTI)材料)の中に同軸伝送線路を置くことによって、ビアを通じての損失を最小化する。SOIの使用は構造体の構築を単純化し、それにより、集積3D RFデバイスへのインテグレーションが単純化される。
一実施形態において、底部酸化物(BOX)絶縁層上に配置されたシリコン層を有する半導体シリコン・オン・オキサイド(SOI)構造体が提供される。シリコン層の中に、底部酸化物絶縁層までシリコン層を垂直に貫通するディープトレンチアイソレーション(DTI)材料によって互いに電気的に分離された、一対の相補型金属酸化膜半導体(CMOS)トランジスタが形成される。ディープトレンチアイソレーション材料は、シリコンの誘電率よりも低い誘電率を有する。内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路が、底部酸化物絶縁層の上に配置された導電体を、底部酸化物絶縁層の下に配置された導電体に電気的に接続するように、ディープトレンチアイソレーション材料を垂直に貫通する。
一実施形態において、内側導電体及び外側導電性シールド構造は、化学気相成長(CVD)タングステンである。
一実施形態において、外側導電性シールド構造は、同軸伝送線路の動作波長の1/4未満の長さだけ互いに離間された複数の離間された導電体を有し、斯くして外側導電性シールド構造のための電気的に連続した導電体を提供する。
このような構成では、シリコン・オン・オキサイド(SOI)開始構造を使用して、ディープトレンチアイソレーション(DTI)を用いて分離された複数のトランジスタを作り出す。DTIは、当該DTI材料を貫いて複数のビアが形成されるのに十分な大きさに形成される。これらの複数のビアは、先ず、DTI材料及びSOI埋め込み酸化物(BOX)層を貫くエッチングを行い、続いて、化学気相成長(CVD)タングステンを用いてビアを充填することによって形成される。これらの複数のビアは、同軸構造又は“疑似同軸”構造(側導電体シールド構造が、物理的に連続した導電体ではなく、むしろ、コネクタの動作波長の1/4未満の長さだけ互いに離間された複数の離間された導電体であって、斯くして側導電体のための電気的に連続した導電体を提供する、という意味での“疑似同軸”)のいずれかを作り出すように配列される。疑似同軸構造は、CVDタングステン充填に関連する実用上の問題の一部を生じさせることなく、RF又はマイクロ波エネルギーを伝播するための真の同軸形状の電気特性を生み出す一方法として想定される。これらの垂直タングステン導体が、集積回路(IC)の第1の金属層に接続される。BOX層上で停止するエッチングを用いて半導体構造の基板ウエハを除去することによって、導電ビアの底面にアクセスすることができ、それにより、DTI材料の底面に、ダイレクトボンドハイブリダイゼーション又は例えばCu熱圧着又は超音波ボンディングなどのその他の3次元(3D)積層技術のための貫通導体が露出される。
本開示の1つ以上の実施形態の細部が、添付の図面及び以下の記載にて説明される。本開示のその他の特徴、目的及び利点が、これらの記載及び図面並びに請求項から明らかになる。
本開示に従った二段ペアCMOS増幅器回路の概略図である。 本開示に従った図1の二段ペアCMOS増幅器回路の概略断面図である図2A及び2Bの構成を合わせて示している。 図2A及び2Bは、本開示に従った図1の二段ペアCMOS増幅器回路の概略断面図である。 図2A及び2Bは、本開示に従った図1の二段ペアCMOS増幅器回路の概略断面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3Cに示す構造の一部の分解図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3Dの一部の上面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。 図3Gに示す構造の一部の分解図である。 図3G−1の上面図である。 図3G−1の底面図である。 図3A−3Hは、本開示に従った、図1及び図2の二段ペアCMOS増幅器回路の一部の、その製造プロセスの様々な段階における概略断面図である。
様々な図中の似通った参照符号は同様の要素を指し示している。
次に図1を参照するに、二段ペアCMOS増幅器回路10の概略図が示されており、図示のように、第2段CMOS回路10bに結合された出力を有する第1段CMOS回路10aを含むことを示している。CMOS回路10a、10bの各CMOS回路は、図示のように、それぞれ上側構造(レイヤ1)12a及び下側構造(レイヤ2)12bである一対の積層され且つ直接的に接合された構造12a、12bのうちの対応する1つの上に形成される。第1段CMOS回路10aは、図示のように、同軸伝送線路16(同軸(coax)16として参照するときもある)を介してRF入力信号を供給されるゲート(G)と、Vdd電圧源に接続されるドレイン(D)と、pMOSFET14bのドレイン(D)に接続されるソース(S)とを有するnMOSFET14aを含んでいる。pMOSFET14bのゲート(G)は、図示のように制御信号入力1を供給される。nMOSFET14bのソースは、第1段CMOS回路10aに関する出力を提供し、同軸伝送線路18(同軸18として参照するときもある)を介して接続される。同軸伝送線路18は、第2段CMOS回路10bの入力に接続される。より具体的には、ここでは、図示のように、回路10aのnMOSFETのソースが、同軸コネクタ18を介して、回路10bのnMOSFET14cのゲート(G)に結合される。回路10bのnMOSFET14cのドレイン(D)は、図示のようにVddに接続され、ソース(S)は、図示のように回路10bのnMOSFET14dのドレイン(D)に接続される。nMOSFET14dのゲート(G)は、図示のように制御信号入力2に接続され、回路10bのnMOSFET14dのソース(S)は、図示のように二段増幅回路10のRF出力を提供し、この出力は、図示のように同軸伝送線路20(同軸20として参照するときもある)を介して結合される。なお、第1及び第2の回路10a及び10bのnチャネルトランジスタのボディはグランドに接続され、pチャネルトランジスタのボディはVddに結合される。それに代えて、トランジスタボディは、SOIアナログ回路で一般的に行われているように、ソース接続に結合されてもよい。なお、また、同軸伝送線路16、18及び20は各々、図示のように、それぞれ内側導電体16c、18c及び20cと、それぞれ内側導電体16c、18c及び20cの周囲に配置された、それぞれ接地された外側導電性シールド構造16o、18o及び20oとを有する。ここで、この例では、後述するように、外側導電性シールド構造は、同軸伝送線路の動作波長の1/4未満の長さだけ互いに離間された複数の離間された導電体を有し、斯くして外側導電体シールド構造のための電気的に連続した導体を提供する。しかしながら、理解されるべきことには、外側導電性シールド構造は、連続した導電体であってもよい。
次に図2、2A及び2Bを参照するに、二段ペアCMOS増幅器回路10の概略断面図が示されている。最初に言及しておくことには、同軸伝送線路18の一部である部分18aはレイヤ12aの底部に形成され、別の部分18bはレイヤ12bの上部に形成される。これまた言及しておくことには、同軸伝送線路16、18及び20の外側導電性シールド構造への接続は、図示のように、後述する垂直導電ビアによってと、面外のグランドバス22によってとで相互接続されてグランドに接続される。
次に図3A−3Hを参照するに、一対のCMOS回路10の一方、ここでは回路10a、が形成された集積回路の一部が図3Aに示されている。回路10aは、ここでは例えばシリコンウエハである従来からのSOIフロントエンドオブライン(FEOL)ハンドル30用いて形成される。FEOL構造11は、ハンドル30の上面に形成された、ここでは二酸化シリコンであるBOX層32を含んでいる。BOX層32の上にシリコンの層34が形成されており、シリコン層34は、従来からの処理を用いて、その中にnMOSトランジスタ14a及びpMOSトランジスタ14bを形成するように適切にドープされている。従来からの技術を用いて、図示のように、シリコン層34の一部上にゲート酸化物層38が形成される。従来からのフォトリソグラフィ・エッチング処理を用いて、図示のように、nMOSトランジスタ14a及びpMOSトランジスタ14bのゲート酸化物層38上にゲート(G)電極40が形成される。
次に図3Bを参照するに、従来からの処理を用いて、図示のように、ここではプラズマ化学気相成長(PECVD)オルトケイ酸テトラエチル(TEOS)であるディープトレンチアイソレーション(DTI)領域36によって、CMOSトランジスタ14a、14bが互いから且つ他の部分及び電気素子から電気的に絶縁される。ディープトレンチアイソレーション領域36は、シリコン層34の頂面から下方にBOX層32まで延在している。なお、ディープトレンチアイソレーション材料36は、シリコン34の誘電率よりも低い誘電率を有する。ここでは、TEOSの比誘電率が3.9であり、シリコン層34の比誘電率が11.9である。故に、同軸伝送線路18a(図2)が、シリコン層34を貫通するのではなく、より低誘電率のDTI36を貫通するようにされ、内側導電体と側導電体シールド構造との間の誘電体をDTI層36が提供することになるので、DTI層36を貫通するとき、シリコン層34を貫通するときよりも信号伝送損失が少なくなる。また、DTI材料36を貫通する同軸伝送線路を使用することは、シリコン層内に形成される集積回路の機能密度を最大化するために、非常に薄いシリコン層34を使用することを可能にするとともに、シリコンと比較して非常に低い導電率及び損失正接を有する酸化物((DTI)材料36)の中に同軸伝送線路18、20を置くことによって、ビアを通じての損失を最小化する。SOIの使用は構造体の構築を単純化し、それにより、集積3D RFデバイスへのインテグレーションが単純化される。図3Bに示すように、DTI領域36の上に、パッシベーション層である誘電体層44、ここでは窒化シリコン、が形成される。
次に、図3Cを参照するに、同軸伝送線路18の一部18a’が形成される。先ず、ここでは反応性イオンエッチングであるフォトリソグラフィ・エッチング技術を用いて、複数の導電ビア18’oが、ここでは中心導電ビア18’cを有する導電ビアの円形アレイである所定のパターンで形成される。そして、それらのビア開口が、CVDを用いてタングステンで充填されることで、内側すなわち中心の導電体18’cと、外側導電性シールド構造18’oとが形成される。外側導電性シールド構造18’oは、ここでは、図3Cに示したセクションの上面図を示すものである図3C−1に示すように、ロッド状の導電体18”の円形アレイとして形成されている。
次に、図3Dを参照するに、ここでは二酸化シリコンである第1の電気インターコネクト誘電体(ILD)構造48が、以下のものを提供するように形成され、すなわち、図示のように、同軸伝送線路18の部分18a’の導電体18’o、18’cにそれぞれ接続された、コンタクトパッド41’o、41’cを有する電気ビア41o、41cと、CMOSトランジスタ14a、14bのソース及びドレイン領域への電気ビア43と、グランド及び後述するレイヤ2 12b内のビアへの接続のためのグランドビア42と、図1で上述したFET14a、14cのためのVdd導電ビア46と、FET14a、14bのゲート(G)への、コンタクトパッド45’を有する導電ビア45と、後述するFET14cのゲートGへの接続のための電気ビア46と、FET14bのソースを同軸伝送線路18の中心導体18’cに接続された導電ビア41に接続するための電気インターコネクト47と、VddをFET14aのドレインに接続するための電気コネクタ59とが提供される。グランドバス22(図2)への接続のための面外の導体51も形成される。
次に、ここでは二酸化シリコンである第2の電気インターコネクト誘電体(ILD)構造50が、以下のものを提供するように形成され、すなわち、同軸伝送線路16用の垂直導電ビアの円形アレイに接続された同軸伝送線路16用の同軸グランドパッド62と、同軸伝送線路16用の中心導体65と、図示のように同軸伝送線路18の中心導体18cをビア74を介してFET14bのソースに接続するための電気コネクタ60と、ビア42に接続されたビア70と、図示のように、FET14a及び14bのソース及びドレインを接続するためにビア46及びビア43及びインターコネクト43に接続されたビア72とが提供される。なお、コンタクトパッド62は、コンタクトパッド65のための中心開口を有する概して正方形又は長方形のパッドである(図3D−1)。
次に、図3Eにて、構造70(図3Dに示したように、FEOL構造11、第1のILD構造48及び第2のILD構造50を含む)の上面の上に、ボンディング酸化物76が形成され、ここでは例えばボンディング酸化物層76を用いて、新たなハンドル73に接合され、その後、図示(図3F)のように第1のハンドル30が除去され、図示のように構造70の底面が露出される。
次に、第1のハンドル30が除去された状態で、図3Gが示すことには、導電ビア42、72、18’o、18’c及び44の露出された端部の下で、露出されたBOX層32の一部上に、図示のように、金属パッド84a、84b、84o、84c及び84dが形成されて、ビア42、72、18’o、18’c及び44に関するコンタクトが作製され、そして、図示のように、コンタクト84o及び84cが、それぞれ、同軸伝送線路18の外側導体及び中心導体へのコンタクトを提供する。ここでは、同軸コネクタ18の上部の金属パッドは、外側導体に関して84oとして示され、中心導体に関して84cとして示されている。図3G−1は、層50の頂部からBOX32の底部までの構造の一部の概略断面図を示しており、上面図が図3G−2に示され、底面図が図3G−3に示されている。なお、コンタクトパッド41o’は、コンタクトパッド41cのための中心開口53を有するパッドであり、同様に、コンタクトパッド84oは、コンタクトパッド84cのための中心開口85を有するパッドである。
次に、似たようにして、図3Hに示す下側構造12b(図2、2A及び2B)が形成される。2つの構造12a、12bがアライメントされ(例えば、図2、2A及び2Bに示したように、構造12aのコンタクトパッド84a、84b、84c、84o及び84dが、それぞれ、コンタクトパッド84’a、84’b、84’c、84’o及び84’dとアライメントされる)、次いで、構造12a及び12bがともに接合されて、図2、2A及び2Bに示した構造が作り出される。この接合は、接着剤接合、陽極接合、熱圧着、又は酸化物接合を含む多様な方法を用いて形成されることができ、金属パッド84aと84’aとの間及び84bと84’bとの間に電気接続が為される。なお、コンタクトパッド84’oは、コンタクトパッド84’cのための中心開口を有するパッド84oである。
もはや理解されるはずのことには、本開示に従った半導体構造体は、底部酸化物絶縁層と、前記底部酸化物絶縁層上に配置されたシリコン層と、前記底部酸化物絶縁層まで前記シリコン層を垂直に貫通したディープトレンチアイソレーション(DTI)材料であり、前記シリコン層の誘電率よりも低い誘電率を持つディープトレンチアイソレーション材料と、前記底部酸化物絶縁層の上に配置された導電体を、前記底部酸化物絶縁層の下に配置された導電体に電気的に接続するように、前記ディープトレンチアイソレーション材料を垂直に貫通した、内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路とを含む。
これまたもはや理解されるはずのことには、本開示に従った半導体構造体は、底部酸化物絶縁層と、前記底部酸化物絶縁層の上面上に配置されたシリコン層と、前記底部酸化物絶縁層まで前記シリコン層を垂直に貫通したディープトレンチアイソレーション材料と、前記シリコン層の上に配置された誘電体構造と、前記誘電体構造上に配置された複数の電気コンタクトであり、当該複数の電気コンタクトの第1の部分が、前記誘電体構造を垂直に貫通した導電ビアに電気的に接続され、当該複数の電気コンタクトの第2の部分が、前記誘電体構造と、前記ディープトレンチアイソレーション材料と、前記底部酸化物絶縁層と、を垂直に貫通した複数の離間された導電ビアによって、前記底部酸化物絶縁層の底面上に配置された電気コンタクトに電気的に接続されている、複数の電気コンタクトと、を含み、前記複数の電気コンタクトの前記第2の部分に接続された前記複数の離間された導電ビアが、前記複数の電気コンタクトの前記第2の部分と前記底部酸化物絶縁層の底面上に配置された前記電気コンタクトとの間に同軸コネクタを提供するように構成されている。
これまたもはや理解されるはずのことには、本開示に従った半導体シリコン・オン・オキサイド(SOI)構造体は、底部酸化物(BOX)絶縁層と、前記底部酸化物(BOX)絶縁層上に配置されたシリコン層と、前記底部酸化物絶縁層まで前記シリコン層を垂直に貫通したディープトレンチアイソレーション(DTI)材料であり、当該ディープトレンチアイソレーション材料は、シリコンの誘電率よりも低い誘電率を有し、前記シリコン層の中に、当該ディープトレンチアイソレーション(DTI)材料によって互いに電気的に分離された一対の相補型金属酸化膜半導体(CMOS)トランジスタが形成されている、ディープトレンチアイソレーション材料と、前記底部酸化物絶縁層の上に配置された導電体を、前記底部酸化物絶縁層の下に配置された導電体に電気的に接続するように、前記ディープトレンチアイソレーション材料を垂直に貫通した、内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路とを含む。この半導体SOI構造体は、以下の特徴のうちの1つ以上を、独立に、又は他の特徴と組み合わせて含み得る:前記内側導電体及び前記外側導電性シールド構造は、化学気相成長(CVD)タングステンである、又は、前記外側導電性シールド構造は、前記同軸伝送線路の動作波長の1/4未満の長さだけ互いに離間された複数の離間された導電体を有し、斯くして前記外側導電性シールド構造のための電気的に連続した導電体を提供する。
本開示の多数の実施形態を説明してきた。そうとはいえ、理解されるように、本開示の精神及び範囲を逸脱することなく、様々な変更が為され得る。例えば、タングステンの代わりに、例えば銅及びタンタルなどのその他の金属が使用されてもよい。また、DTI材料36を貫通する導電ビアは、中実のロッドではなく、中空の管であってもよい。従って、その他の実施形態も以下の請求項の範囲内にある。

Claims (5)

  1. 底部酸化物絶縁層と、
    前記底部酸化物絶縁層上に配置されたシリコン層と、
    前記底部酸化物絶縁層及び前記シリコン層を垂直に貫通したディープトレンチアイソレーション(DTI)材料であり、前記シリコン層の誘電率よりも低い誘電率を持つディープトレンチアイソレーション材料と、
    前記底部酸化物絶縁層の上に配置された導電体を、前記底部酸化物絶縁層の底面上に配置された導電体に電気的に接続するように、前記ディープトレンチアイソレーション材料を垂直に貫通した、内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路と、
    を有する半導体構造体。
  2. 底部酸化物絶縁層と、
    前記底部酸化物絶縁層の上面上に配置されたシリコン層と、
    前記底部酸化物絶縁層及び前記シリコン層を垂直に貫通したディープトレンチアイソレーション材料と、
    前記シリコン層の上に配置された誘電体構造と、
    前記誘電体構造上に配置された複数の電気コンタクトであり、当該複数の電気コンタクトの第1の部分が、前記誘電体構造を垂直に貫通した導電ビアに電気的に接続され、当該複数の電気コンタクトの第2の部分が、前記誘電体構造及び前記ディープトレンチアイソレーション材料を垂直に貫通した複数の離間された導電ビアによって、前記底部酸化物絶縁層の底面上に配置された電気コンタクトに電気的に接続されている、複数の電気コンタクトと、
    を有し、
    前記複数の電気コンタクトの前記第2の部分に接続された前記複数の離間された導電ビアが、前記複数の電気コンタクトの前記第2の部分と前記底部酸化物絶縁層の底面上に配置された前記電気コンタクトとの間に同軸コネクタを提供するように構成されている、
    半導体構造体。
  3. 底部酸化物(BOX)絶縁層と、
    前記底部酸化物(BOX)絶縁層上に配置されたシリコン層と、
    前記底部酸化物絶縁層及び前記シリコン層を垂直に貫通したディープトレンチアイソレーション(DTI)材料であり、当該ディープトレンチアイソレーション材料は、シリコンの誘電率よりも低い誘電率を有し、
    前記シリコン層の中に、当該ディープトレンチアイソレーション(DTI)材料によって互いに電気的に分離された一対の相補型金属酸化膜半導体(CMOS)トランジスタが形成されている、
    ディープトレンチアイソレーション材料と、
    前記底部酸化物絶縁層の上に配置された導電体を、前記底部酸化物絶縁層の底面上に配置された導電体に電気的に接続するように、前記ディープトレンチアイソレーション材料を垂直に貫通した、内側導電体と該内側導電体の周囲に配置された外側導電性シールド構造とを有する同軸伝送線路と、
    を有する半導体シリコン・オン・オキサイド(SOI)構造体。
  4. 前記内側導電体及び前記外側導電性シールド構造は、化学気相成長(CVD)タングステンである、請求項3に記載の構造体。
  5. 前記外側導電性シールド構造は、前記同軸伝送線路の動作波長の1/4未満の長さだけ互いに離間された複数の離間された導電体を有し、斯くして前記外側導電性シールド構造のための電気的に連続した導電体を提供する、請求項3に記載の構造体。
JP2019515441A 2016-10-19 2017-06-14 多階層相互接続半導体ウエハ用の同軸コネクタフィードスルー Active JP6835957B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/297,803 US9887195B1 (en) 2016-10-19 2016-10-19 Coaxial connector feed-through for multi-level interconnected semiconductor wafers
US15/297,803 2016-10-19
PCT/US2017/037462 WO2018075099A1 (en) 2016-10-19 2017-06-14 Coaxial connector feed-through for multi-level interconnected semiconductor wafers

Publications (2)

Publication Number Publication Date
JP2019530239A JP2019530239A (ja) 2019-10-17
JP6835957B2 true JP6835957B2 (ja) 2021-02-24

Family

ID=59227924

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019515441A Active JP6835957B2 (ja) 2016-10-19 2017-06-14 多階層相互接続半導体ウエハ用の同軸コネクタフィードスルー

Country Status (10)

Country Link
US (1) US9887195B1 (ja)
EP (1) EP3529831B1 (ja)
JP (1) JP6835957B2 (ja)
KR (1) KR102176121B1 (ja)
CN (1) CN109643687A (ja)
CA (1) CA3031756A1 (ja)
IL (1) IL264753B (ja)
SG (1) SG11201900741YA (ja)
TW (1) TWI647813B (ja)
WO (1) WO2018075099A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202109616A (zh) 2019-06-26 2021-03-01 日商索尼半導體解決方案公司 攝像裝置
TW202109615A (zh) * 2019-06-26 2021-03-01 日商索尼半導體解決方案公司 攝像裝置
US11177547B1 (en) 2020-05-05 2021-11-16 Raytheon Company Three-dimensional branch line coupler
JP7427646B2 (ja) * 2021-12-07 2024-02-05 キヤノン株式会社 光電変換装置、光電変換システム、移動体

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5347086A (en) * 1992-03-24 1994-09-13 Microelectronics And Computer Technology Corporation Coaxial die and substrate bumps
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5807771A (en) 1996-06-04 1998-09-15 Raytheon Company Radiation-hard, low power, sub-micron CMOS on a SOI substrate
US7091424B2 (en) 2002-10-10 2006-08-15 International Business Machines Corporation Coaxial via structure for optimizing signal transmission in multiple layer electronic device carriers
JP4192035B2 (ja) * 2003-05-27 2008-12-03 大日本印刷株式会社 配線基板の製造方法
KR20090118747A (ko) * 2008-05-14 2009-11-18 삼성전자주식회사 관통 전극을 가지는 반도체 칩 패키지 및 인쇄회로기판
US8035198B2 (en) 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
KR101031134B1 (ko) 2008-09-11 2011-04-27 주식회사 동부하이텍 반도체 소자의 컨택 및 그 제조 방법
US8242604B2 (en) 2009-10-28 2012-08-14 International Business Machines Corporation Coaxial through-silicon via
US20110241185A1 (en) 2010-04-05 2011-10-06 International Business Machines Corporation Signal shielding through-substrate vias for 3d integration
JP2014207252A (ja) * 2011-08-17 2014-10-30 株式会社村田製作所 半導体装置およびその製造方法ならびに携帯電話機
US9577035B2 (en) 2012-08-24 2017-02-21 Newport Fab, Llc Isolated through silicon vias in RF technologies
US9070698B2 (en) * 2012-11-01 2015-06-30 International Business Machines Corporation Through-substrate via shielding
JP2015005690A (ja) * 2013-06-24 2015-01-08 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN104396005B (zh) * 2013-06-27 2018-05-29 英特尔Ip公司 用于电子系统的高电导率高频通孔
US9252077B2 (en) * 2013-09-25 2016-02-02 Intel Corporation Package vias for radio frequency antenna connections

Also Published As

Publication number Publication date
JP2019530239A (ja) 2019-10-17
KR102176121B1 (ko) 2020-11-09
US9887195B1 (en) 2018-02-06
CN109643687A (zh) 2019-04-16
CA3031756A1 (en) 2018-04-26
EP3529831B1 (en) 2021-11-03
KR20190035878A (ko) 2019-04-03
TW201830662A (zh) 2018-08-16
IL264753B (en) 2020-04-30
WO2018075099A1 (en) 2018-04-26
EP3529831A1 (en) 2019-08-28
SG11201900741YA (en) 2019-02-27
IL264753A (en) 2019-03-31
TWI647813B (zh) 2019-01-11

Similar Documents

Publication Publication Date Title
JP6835957B2 (ja) 多階層相互接続半導体ウエハ用の同軸コネクタフィードスルー
US10643986B2 (en) Power gating for three dimensional integrated circuits (3DIC)
EP3304592B1 (en) Microwave integrated circuit (mmic) damascene electrical interconnect for microwave energy transmission
CN102800961B (zh) 使用硅通孔的天线
US10199273B2 (en) Method for forming semiconductor device with through silicon via
US8227708B2 (en) Via structure integrated in electronic substrate
TWI731782B (zh) 半導體結構及其形成方法
US9548267B2 (en) Three dimensional circuit including shielded inductor and method of forming same
JP5532257B2 (ja) マイクロフィーチャ加工対象物のための再分配構造
JP5238562B2 (ja) 半導体装置
US9184113B1 (en) Methods of forming coaxial feedthroughs for 3D integrated circuits
KR20230013136A (ko) 차폐 구조를 구비한 반도체 디바이스
US9461017B1 (en) Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement
EP3460842B1 (en) Shielding in an integrated circuit
TWI467722B (zh) 用於阻抗匹配及電性互連的矽穿孔結構
Liu et al. Electrical models of through silicon Vias and silicon‐based devices for millimeter‐wave application

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190319

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210204

R150 Certificate of patent or registration of utility model

Ref document number: 6835957

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250