JP6751871B2 - 半導体製造プロセスのための改善されたプロセス制御技術 - Google Patents

半導体製造プロセスのための改善されたプロセス制御技術 Download PDF

Info

Publication number
JP6751871B2
JP6751871B2 JP2017545882A JP2017545882A JP6751871B2 JP 6751871 B2 JP6751871 B2 JP 6751871B2 JP 2017545882 A JP2017545882 A JP 2017545882A JP 2017545882 A JP2017545882 A JP 2017545882A JP 6751871 B2 JP6751871 B2 JP 6751871B2
Authority
JP
Japan
Prior art keywords
overlay
data
model
input data
measurements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017545882A
Other languages
English (en)
Other versions
JP2017536584A (ja
Inventor
ドルー デーヴィッド,ジェフリー
ドルー デーヴィッド,ジェフリー
Original Assignee
ピーディーエフ ソリューションズ,インコーポレイテッド
ピーディーエフ ソリューションズ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ピーディーエフ ソリューションズ,インコーポレイテッド, ピーディーエフ ソリューションズ,インコーポレイテッド filed Critical ピーディーエフ ソリューションズ,インコーポレイテッド
Publication of JP2017536584A publication Critical patent/JP2017536584A/ja
Application granted granted Critical
Publication of JP6751871B2 publication Critical patent/JP6751871B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706837Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Evolutionary Computation (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Probability & Statistics with Applications (AREA)
  • Algebra (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Description

本出願は、各々その全体が参照によって本願に組み込まれる、2014年11月25日に出願された“System and Methods for Overlay Eror Compensation, Measurements, and Lithography Apparatus Control”と題された米国特許出願第62/084,551号、2014年12月14日に出願された“System and Methods for Yield Prediction, Test Optimization, and Burn−In Optimization”と題された米国特許出願第62/091,567号、および2015年1月15日に出願された“System and Methods for Using Algorithms for Semiconductor Manufacturing”と題された米国特許出願第62/103,946号からの優先権を主張するものである。
本開示は、一般に半導体製造プロセスに関し、特に、半導体製造プロセスのリソグラフィ、歩留り予測、および他の態様に関する改善されたプロセス制御技術に関する。
半導体製造業は、複雑かつ要求の多い業界であることが知られており、デバイス構造およびプロセス技術における大幅な変化を伴って進化し続けている。従来、半導体産業は、最新のハイテク機器、高度の工場自動化、および超清浄製造設備を特徴としており、これらは数十億ドルもの設備投資およびメンテナンスコストがかかるものである。
この数十年間、半導体製造は、ムーアの法則および平面型トランジスタ構造によって動かされてきた。これは、トランジスタコストのスケーリングおよび明確なインタフェースのための予測可能かつ自己持続型ロードマップを提供し、個々のプロセス/層は自らの技術軌道を独立的に辿ることができた。しかし、サブ20nmノードおよびたとえばMEMSなど他の大衆的なデバイス構造を供給するほどまで産業が拡大するにつれ、新たなプロセスが必要とされ、半導体製造のための新たなアプローチが研究および実装されている。
サブ20nmノードの場合、完全に新しいデバイス構造が必要である。同時に、モノのインターネット(IoT)における急速な成長がMEMS市場を動かしている。これらの変化は、この産業に関する困難かつ前代未聞の課題を提示しており、概して製造歩留りの低下をもたらす。
これらの新たな構造によって許容可能な歩留りおよびデバイス性能を実現するためには、非常に厳しいプロセス仕様が実現されなければならない。したがって、より良いプロセス制御および集積スキームが、現在かつてないほど必要とされている。
この産業に関する具体的な現在の課題の一例は、サブ20nmノード製造のためのリソグラフィプロセスである。EUVリソグラフィ技術が知られているが未だ幅広く生産に採用されてはおらず、そのため、マスクおよびプロセスステップを追加することにより複雑およびコストがかかるマルチパターニングスキームによって193nm液浸リソグラフィがその能力を拡大しなければならない。
様々なプロセスがより複雑な集積を必要とし、その結果、もはや互いに独立して発展することができない。たとえば、finFETおよび3−D NANDの3次元構造、ならびに対応するプロセスステップ間の複雑な関係は、プロセス可変性がデバイス性能および歩留りに影響を及ぼし得る状況を変えてきた。一例として、多くの半導体製造業者は、自身のfinFETラインにおける歩留り低下を経験しており、歩留まりを増加させる必要性は差し迫ったものである。メモリ空間において、3−D NANDは主要な構造になりつつあり、プロセス制御は3−D NANDプロセス層に関する重要な問題である。IoT空間は、デバイスが必ずしもムーアの法則に合わせない技術を組み入れる「モアザンムーア」傾向によってますます多数派になりつつある。この成長する市場空間は、多角的かつ特殊なプロセスによって動かされており、製造ソリューションを実現する際に歩留りを増加させ製造コストを削減するための新たな方法の必要性がある。
半導体デバイスを製造するためのプロセスを示すフローチャートである。 図1のプロセスの様々なステップと、プロセス変動および製品性能へのそれらの累積効果との関係を示すブロック図である。 オーバーレイエラーがなくデバイスの2つの異なる層に形成された特徴の平面図である。 オーバーレイエラーを伴ってデバイスの2つの異なる層に形成された特徴の平面図である。 臨界寸法エラーを伴ってデバイスの単一層に形成された特徴の平面図である。 臨界寸法エラーまたはオーバーレイエラーがなくデバイスの2つの異なる層に形成された特徴を有する基板の側面図である。 臨界寸法エラーまたはオーバーレイエラーがなくデバイスの2つの異なる層に形成された特徴を有する基板の側面図である。 モデルを訓練および展開するための方法を示すフローチャートである。 入力データおよび入力データのソースの例を示すブロック図である。 プロセス調整を行うために展開されたモデルを用いるための方法を示すフローチャートである。 DBO測定とCD−SEM測定との誤差を示すグラフである。 分類アルゴリズムおよび信頼メトリックを用いた歩留り予測を示すフローチャートである。 歩留りを予測するためにモデルを訓練および展開するための方法を示すフローチャートである。 歩留り予測システムの1つの実施形態のブロック図である。 製造された製品の状態を、重み付けされた試験データ、信頼メトリック、および分類の関数として決定するためのプロセスを説明する式を示す。 バーンイン時間を最適化するためのプロセスを説明する式を示す。 予測分析のための半導体製造プロセスにおける追加のアプリケーションを示すブロック図である。
1.概観
本開示は、半導体製造プロセスの生産ランにおけるプロセス変動を測定および/または補償するための新たな技術を説明し、これらの技術を、プロセスの任意のステップで歩留りを予測するために用い、試験およびバーンイン手順を最適化するためのものである。たとえば、新たな種類の入力データを取り入れることによってデータ分析に新たなアプローチをもたらすために機械学習アルゴリズムが用いることができ、データはより効率的に相関付け、編成、および前処理され、その後プロセス調整を行うために用いることができる。ターゲットパラメータに関するモデルを作成するために前回生産ランからのデータが用いられてよく、ターゲットパラメータに関する予測を生成し予測と実際のデータとを相関付けるために、今回生産ランからのデータがモデルに入力されてよい。
2.半導体製造プロセスの概要
図1は、典型的な半導体製造プロセス100の高レベル図であり、実際はこの中に何百ものステップが存在し得る。一般に、生産ランにわたりプロセスのステップおよびサブステップごとにデータが収集されてよく、ステップごとに歩留りが計算されるとともに、プロセス全体の全歩留りが予測され得る。
ウェハ製造はステップ102から始まり、ここで、ウェハとして知られるたとえばシリコンなどの半導体基板の単一スライス上に多数の集積回路が形成される。様々な集積回路を組み立てるために多くのステップが様々なシーケンスにおいて必要である。たとえば堆積は、ウェハの絶縁層を成長させるプロセスである。拡散は、電気特性を変更するためにウェハのエリア内に不純物を焼成するプロセスである。イオン注入は、電気特性を変更するための他のプロセスであり、ドーパントをシリコンに注入するものである。これらのステップの間に、リソグラフィプロセスによって、ウェハのエリアが画像を用いてパターン化されることが可能であり、その後、ウェハ全体に塗布されたフォトレジストを露光するためにマスクが使用され、露光されたフォトレジストが現像される。その後、現像されたフォトレジストの選択された部分を除去するためにパターンがエッチングされ、これらのステップが反復されて複数の層を生成する。最後に、メタライゼーションは、ウェハ上に形成された様々なデバイス/回路の間の電気的相互接続を形成する特殊堆積プロセスである。製造プロセスは、製造後ステップに移行するまで完了するのに数か月を要し得る。
ステップ104においてウェハ試験および仕分けが行われる。ウェハが製造された後、ウェハ上に形成された個々の集積回路の全てが、たとえばウェハプローブを用いて試験パターンを印加することによって機能欠陥について試験される。回路は試験手順で可または不可になり、不可回路はマークされ、あるいはたとえばウェハマップを表すファイル内に格納されて識別される。
ステップ106において組立ておよびパッケージングが行われる。ウェハは独立した個々の回路またはダイにダイシングされ、ウェハ仕分けおよび試験を通過した各ダイは、パッケージを形成するためにフレームに接着および電気接続される。各ダイ/パッケージはその後、回路を保護するためにカプセル化される。
ステップ108において、パッケージ内の回路がここでも予想通りに機能することを確認するために、パッケージはランダム電気試験を受ける。
ステップ110において、残ったパッケージは、パッケージを極端ではあるが可能性のある動作条件に晒すことによるバーンインサイクルを経る。バーンインは、所定の期間にわたる電気試験、熱暴露、ストレススクリーニング、またはこれらの組み合わせを伴ってよい。バーンイン試験は、欠陥部品を明らかにする。
最後に、ステップ112において、残ったパッケージに電気試験の最終ラウンドが実施される。
3.機械学習アルゴリズム
たとえば大規模での並行処理の実行など、コンピューティング技術およびデータ分析技術における昨今の進化は、機械学習アルゴリズム、データマイニング、および予測分析における進歩をもたらした。機械学習は、データから学習し得る、システムの構築および研究に関する人工知能の分岐である。これらの種類のアルゴリズムによって、並行処理機能に加えて、データを物理的にモデル化する必要なくより大量のデータセットが処理されることが可能である。これによって、リソグラフィ装置にオーバーレイエラーおよび臨界寸法(CD)変動に関する補正を行うためにデータ分析を取り入れる可能性が開かれる。たとえば、オーバーレイエラーを補正するために通常のパラメータ(たとえばCDメトロロジー、オンスキャナデータ、ウェハ形状およびジオメトリメトロロジー、DBO測定値)を使用することに加えて、機械学習アルゴリズムを訓練するために上流プロセスおよびメトロロジーからのプロセスパラメータおよび他のメトロロジーも使用され得る。
半導体およびエレクトロニクスの製造において、データは常に役割を果たしてきた。半導体産業において、データは当初、仕掛け品(WIP)を追跡するために手動で収集されていた。収集されたこの種のデータには、メトロロジーデータ(IC製造プロセス中に得られた測定値)、パラメトリック試験データ、ダイ試験データ、最終試験データ、欠陥データ、プロセスデータ、および機器データが含まれた。データセットを分析および利用して歩留りおよび製造効率を改善するために、標準的な統計技術およびプロセス制御技術が用いられた。多くの例において、分析は、専門家による手動の「アドホック」形式で実行された。
しかし、デバイスノードが小さくなり許容差が厳しくなるにつれ、工場はより自動化され、データを収集する能力が改善されてきた。このデータ収集能力の改善を伴っても、半分より多くのデータが処理されることはないと見積もられている。また、処理および格納されたデータのうち、90%より多くは再びアクセスされることがない。
今後、データ容量および速度は急速に増加し続ける。半導体プロセスツールにおけるデータ収集速度の昨今の水準は、1Hzである。国際半導体技術ロードマップ(ITRS)は、データ収集速度に関する要件が3年以内に100Hzに達すると予測している。多くの専門家によると、より現実的な速度は10Hzであると考えられる。10Hzの速度でさえ、データ速度における10倍の増加を示す。高速データ速度に加えて、半導体製造プロセスにより多くのセンサが展開されている。たとえば、アプライドマテリアルズファクトリーオートメーショングループは、先端技術の要件がセンサにおける40%の増加をもたらすことを示すロードマップを有する。
現在収集される膨大な量のセンサデータおよび低いデータ記憶率を伴う場合、半導体産業の問題点を解決するためにデータサイエンスにおける進化が実現されてよく、またされなければならない。半導体およびエレクトロニクス産業において、データを活用して効率を改善するためにある程度の進歩が遂げられた。たとえば、マイクロチップ製造工場は、特定のプロセスのためのツールがメンテナンスを必要とする時を予測するため、または製造工場におけるスループットを最適化するために、データを結合および分析している。
予測分析および機械学習アルゴリズムは、このように、半導体産業が直面する課題に対処するために用いられ得る。半導体製造業の細部に深く掘り込むこと、およびどのように予測分析を適用して歩留り結果をより迅速に検出および解明し、個々の製造ステップの仕様を目標とし強化するかを知ることによって、歩留りの増加がもたらされ得る。図2は、製品性能におけるプロセス変動の累積効果の例を示す。関係は複雑であり、たとえばリソグラフィおよびエッチングステップの臨界寸法202、誘電体フィルム厚さ204、およびフィルム抵抗率206などプロセスステップの重要性能指標(KPI)、たとえばチャネル長さおよび幅212、トランジスタおよびダイオード閾値214、および抵抗216などのパラメトリック、および、たとえば最大周波数222および最大電流224などの製品性能を相関付けることは難しい。これらの関係を数量化し、その後関係を活用して製品性能を予測および改善するために、予測分析を用いることができる。
半導体産業は、予測分析および機械学習アルゴリズムを適用するためにいくつかの固有の課題を提示する。これらの課題のいくつかは、多くのバッチプロセスにおける非線形性、プロダクトミックスによるマルチモードバッチ軌道、プロセスドリフトおよびシフト、少量の(場合によっては大量とは言えない)訓練データ、および(しばしば故意に調整される)様々な持続時間を有するプロセスステップである。
これらの課題を良く理解することが、予測分析を的確に利用するために必要である。的確に適用された場合、予測分析は、他の技術を用いて明らかにすることが難しかった複雑な相関性を見出すことができる。より深い理解および識見に近づくこの新たな方法は、未だかつてないような歩留りの増加、デバイス性能の改善、およびコスト削減のために活用され得る。
一例において、機械学習アルゴリズムは、歩留りを予測するために用いられ得る。製品に関する歩留り予測は、任意の数の製造ステップが完了した後の製品の品質または使用可能性の予測を参照する。製品に関する歩留り予測が所与の製造ステップにおいて「優良」である場合、その製品は、その製造プロセスの時点で使用可能であると予測され、処理を継続すべきである。歩留り予測が「不良」であると予測された場合、その製品は、その製造ステップの時点で欠陥品または使用不可能であると予測され、継続した処理を推奨されない。歩留り予測は、製品の処理を継続することがコスト効率的に良いかを決定するために役立つ。いくつかの実施形態において、歩留り予測は、製品の処理を継続するか否かの決定における構成要素である。歩留り予測は必ずしも、製品の処理を継続するか否かに関して決定する際の唯一の変数ではない。
他の例において、仮想メトロロジーは、リアルタイムで、実際の測定を行わずにたとえばフィルム厚さおよび臨界寸法(CD)などのメトロロジーメトリックを予測するために、機械学習アルゴリズムを用いることができる。これはスループットに多大な影響を与え、また高価なTEMまたはSEM断面積測定の必要性も減少させ得る。生産設備からのセンサデータおよびアルゴリズムを訓練するためにサンプリングされたウェハの実際のメトロロジー値に基づいて、仮想メトロロジーは、全てのウェハに関するメトロロジー値を予測し得る。アルゴリズムは、入力データおよび測定されたターゲットのセットを用いてモデルが訓練され得る、教師あり学習アルゴリズムであってよい。ターゲットは、制御されるべき臨界寸法であってよい。入力データは、上流メトロロジー測定値またはプロセス機器からの(たとえば温度および実行時間などの)データであってよい。
また他の例において、メトロロジー測定値は現場で、または特定の半導体プロセスが完了した後に取られ、仮想メトロロジーシステムのための入力データの一部として用いられ得る。たとえばメトロロジーデータは、現在のリトグラフィステップに先立つ1または複数の処理ステップにおいて生じるCMPステップの後に収集され得る。これらのメトロロジー測定値は、各メトロロジーシステムによって決定された厚さデータ、または屈折率および吸収係数であってもよい。
他の例において、メトロロジーデータは、エッチング処理中に収集され得る。フォトルミネセンスからの光学発光スペクトルまたはスペクトルデータが入力データの一部として利用され得る。たとえばエッチング、堆積、またはCMPなどの特定のプロセス中に収集された現場スペクトルデータまたは他のセンサデータに、データ変換または特徴エンジニアリングが実行され得る。一例として、複数のスペクトルが処理中に現場で収集されてよい。使用されるスペクトルセットは、処理中に収集された全てのスペクトル、または処理中に収集されたスペクトルのサブセットであってよい。たとえば平均、標準偏差、最小、および最大などの統計値が時間にわたりスペクトルセットの波長間隔ごとに収集され、データ入力として使用されてよい。他の例として、所与のスペクトルに関して同様の統計値が収集され、これらの統計値の時系列がデータ入力として使用され得る。また他の例として、スペクトルにおける頂部および谷部が識別され、(同様の統計的変換を適用して)データ入力として使用され得る。スペクトルは、処理雑音またはシステム雑音を低減するために正規化またはフィルタ(たとえばローパスフィルタ)される必要があり得る。現場スペクトルデータの例は、ウェハからのリフレクトメトリ、光学発光スペクトル(OES)、またはフォトルミネセンスを含む。
また他の例において、仮想メトロロジーモデルのターゲットは、ウェハプローブ試験の出力、またはウェハプローブ試験によって測定した測定値であってよい。また、最終ウェハ電気試験、ウェハ仕分け試験、およびウェハ受入れ試験からの出力が、仮想メトロロジーモデルへのターゲットとして用いられ得る。最終ウェハ電気試験パラメータの例は、ダイオード特性、駆動電流特性、ゲート酸化物パラメータ、漏洩電流パラメータ、金属層特性、レジスタ特性、ビア特性などを含むが、これに限定されない。ウェハ仕分けパラメータの例は、クロックサーチ特性、ダイオード特性、走査論理電圧、静止IDD、IDDQ、VDD分、電源オープンショート特性、リング発振器周波数などを含むが、これに限定されない。仮想メトロロジーモデルのターゲットは、最終試験からの出力であってよい。ターゲットは、たとえばバーンインなどのデバイス信頼性ストレスの前後に様々な電気および温度条件の下で複数回生じる試験、またはバーンインステップにおいて生じる試験から得られ得る。ターゲットは、機能試験、構造試験、およびシステムレベル試験の混合である電気試験から得られ得る。
また他の例において、機械学習アルゴリズムは、製造プロセスステップを制御するために用いられ得る。上述したように、仮想メトロロジーは、製造プロセスステップに関する臨界寸法またはフィルム厚さを予測するために用いられ得る。この製造ステップの処理前または処理中、予測は、その処理ステップに関する任意の数の処理パラメータ(たとえば実行時間)を設定および/または制御するために用いられ得る。
また他の例において、機械学習アルゴリズムは、製造プロセスにおいて、またはプロセスステップにおける特定のツールで、いつ故障または欠陥が生じるかを予測するために用いられ得る。機械故障または障害を識別し、故障の根本原因を迅速に発見することが、半導体製造業において重要であり得る。製造プロセスにおける故障をより良く検出および解明することができると、ダウンタイムおよび廃棄物が減少し得る。これは、故障検出および分類(FDC)とも称される。故障が生じる前にそれを予測することができれば、ダウンタイムが最適にスケジュールされ、廃棄物は更に減少し得る。一例として、どの入力特徴がプロセスにおける故障を最も良く予測し得るかを決定し、故障の検出に基づいて決定則を展開するために、決定ツリーが使用され得る。
4.リソグラフィおよびオーバーレイエラー
上述したように、リソグラフィプロセスは、サブ20nmノードの製造に関する課題を提示する。リソグラフィ装置は、基板、多くの場合基板のターゲット部分に、所望のパターンを施す機械である。個々の集積回路(IC)層の回路パターンは、一般にマスクまたはレチクルと称される、パターンをターゲットに転写するパターニングデバイスによって生成される。通常、パターンは、基板上に形成された放射感応性の材料(たとえばレジスト)の層に結像することによって転写される。1つの基板上に、連続的にパターン化された隣接するターゲット部分の回路網が存在する。
リソグラフィ装置の一種がステッパであり、これによるとターゲット部分のパターン全体が単一の機会に露光される。他の種類のリソグラフィ装置はスキャナであり、これによると、所与の方向への放射ビームによってパターンを走査すると同時にこの方向と平行または反平行に基板を走査することによって、ターゲット部分が照射される。
後続する層におけるパターン化特徴の配置は、デバイスを的確に組み立てるために非常に精密でなくてはならない。全ての特徴は、指定された許容値の範囲で形成されるサイズおよび形状を有さなければならない。特徴または隣接層間のオフセットまたはミスマッチを指すオーバーレイエラーは、製造後のデバイスが正常に機能するために、最小限かつ許容値の範囲内でなくてはならない。したがってオーバーレイ測定値は、レジスト層におけるマスクによって露光される所与のパターンのオーバーレイエラーを決定するために重要である。
オーバーレイ測定モジュールは通常、光学走査システムを用いてオーバーレイ測定を実行する。基板上のパターンの位置に対するレジスト層におけるマスクパターンの位置は、光源によって照射される基板上の光学マーカからの光学応答を測定することによって決定される。光学マーカによって生成される信号は、センサ配置によって測定される。センサの出力を用いて、オーバーレイエラーが導出される。通常、オーバーレイエラーが測定されるパターンは、ターゲット部分の間にあるスクライブレーン内に位置する。
オーバーレイの測定に関する2つの共通概念は、画像に基づくオーバーレイ(IBO)および回析に基づくオーバーレイ(DBO)である。IBOの場合、基板パターンの画像位置が、レジスト層におけるマスクパターン位置と比較される。オーバーレイは、これら2つの画像位置を比較した結果である。結像アプローチは、2つの層のアライメントを直接的に示す「画像」の分析に基づくので、概念として単純である。たとえば、ボックスインボックスまたはラインインラインアライメントマークが2つの層で共通して用いられる。しかし、IBOエラー測定は、振動および測定中のフォーカス品質に過敏であり、両者が画像のぶれをもたらし得る。光学系における収差が更にIBO測定の精度を低下させ得る。
DBOの場合、第1の回析格子パターンがパターン層に存在し、同一のピッチを有する第2の回析格子パターンがレジスト層に存在する。第2の格子は、名目的には第1の格子の上面に存在しなければならず、回析パターンの強度を測定することにより、オーバーレイ測定値が得られ得る。2つの格子の間にオーバーレイエラーが存在する場合、それが解析パターンにおいて検出可能である。DBOは、IBOに比べて振動に過敏ではない。
マルチパターニングソリューションを機能させるために、特に現在実装されている非常に小さな寸法の光の場合、より精密かつ正確なマスクオーバーレイの必要性が非常に重要になっている。オーバーレイエラーおよび臨界寸法(CD)変動の畳み込みは、短絡、接続不良、および動作不良デバイスを招き得るので、マスクオーバーレイエラーを最小限にすることに加えて臨界寸法均一性(CDU)も重要になっている。
たとえば、図3Aは、第1層に形成された特徴302およびたとえば第1層の上にある第2層に形成された特徴304を有する、外見上のオーバーレイエラーがないデバイス300の一部の上面図を示す。第1層において、特徴304の下に、特徴304と直線上で位置を合わせられることによってオーバーレイエラーを生じない他の特徴(不図示)も形成される。
対照的に、図3Bは、第1層に形成された特徴312および313を有する別のデバイス310の一部の上面図を示す。特徴314は第2層に形成され、第1層における特徴313と合わせられるが、この例では、特徴313と314との位置ずれによるオーバーレイエラー311が示される。
図4は、単一層に形成された特徴間のCD変動を有するデバイス400の一部の上面図を示す。したがって、特徴間の寸法は「x」に設計され、特徴401と402との間および特徴403と404との間にこの寸法が認められる。しかし、特徴402と403との間で寸法は「x未満」であり、これが臨界寸法エラーである。
図5Aは、基板501および基板の上面に形成された特徴の第1層502を有するデバイス500の側面図である。特徴の第2層503は、2つの異なるリソグラフィステップにおいて第1層502の上面に形成される。たとえば、特徴511〜514は第1のリソグラフィステップにおいて形成され、その後、特徴515〜517が第2のリソグラフィステップにおいて形成される。この例では、異なる層における特徴間で外見上のオーバーレイエラーはなく、異なるリソグラフィステップにおいて形成された特徴間で寸法は一貫して「x」であるため、CDエラーもない。
図5Bは、基板521、基板の上面に形成された特徴の第1層522、2つの異なるリソグラフィステップにおいて第1層502の上面に形成された特徴の第2層503、すなわち第1のリソグラフィステップにおいて形成された特徴531〜534および第2のリソグラフィステップにおいて形成された特徴535〜537を有する別のデバイス520の側面図である。しかしこの例において、特徴535〜537は第1層に対して位置ずれがあるので、第2のリソグラフィステップにおける外見上のオーバーレイエラー550が存在する。異なるリソグラフィステップにおいて形成された特徴間のCDエラーも存在し、特徴の片側における寸法は「xより大きく」、特徴の他方の側における寸法は「x未満」である。
このように、オーバーレイエラーおよびCDエラーを決定し、補償を適用することが、リソグラフィプロセスにおいて非常に重要になっている。以下の表Iは、より小さなノードほど許容可能なオーバーレイエラーおよびCDエラーに関して厳しさを増すバジェットを示す。
Figure 0006751871
オーバーレイエラーおよびCDエラーを招くパターニングエラーの多くの原因が存在する。たとえば、レチクルは、配置エラー、CD均一性エラー、およびヘイズ欠陥の原因になり得る。リソグラフィおよびエッチングプロセスは、フォーカスおよび/または露光エラー、オーバーレイ問題、(たとえばCDおよび形状などの)エッチングプロファイル問題、および他の欠陥を有し得る。ウェハ製造および他のプロセスは、ウェハ形状および均一性、フィルム特性の均一性、CMP均一性、熱処理、および背面およびエッジ欠陥に関する問題を有し得る。
処理技術が、たとえば10nmや7nmなどますます小さなノードに移行するにつれ、利用可能なメトロロジーソリューションの能力に関する深刻な懸念が存在する。オーバーレイエラーおよびCDエラーを補正するためにスキャナまたはステッパに的確な調整が行われ得るように、これらのソリューションにおける不確実性は最小限にされなければならない。オーバーレイはxy座標系、またはオーバーレイを表すベクトルにおいて定義され得るが、オーバーレイを補正するための調整をもたらし得るリソグラフィ装置には多数の構成要素が存在する。
したがって、たとえばオーバーレイエラーおよびCDエラーなどのリソグラフィパターンエラーを測定および/または補償するための新たな技術が説明される。機械学習アルゴリズムは、データ処理およびプロセス制御に新たなアプローチをもたらすために用いられ得る。たとえば、より多数の多様な種類の入力データが機械学習アルゴリズムに供給されてよく、エラーを補正するためにリソグラフィ装置の1または複数のパラメータをどのように調整するかを決定するために、データはより効率的に編成および前処理され得る。
図6を参照すると、フローチャートは、たとえばオーバーレイエラーおよびCDエラーなどのリソグラフィ処理におけるエラーを補正するために半導体製造プロセスを評価するためのモデルを作成および展開する方法600を示す。ステップ602において、ターゲットが選択される。1つの実施形態において、ターゲットはオーバーレイ測定(たとえばIBO測定、DBO測定、CD−SEM、TEMなど)であり、xおよびy方向における線形オーバーレイオフセットであってよい。ターゲットは、たとえばレチクル位置、レチクル回転、またはレチクル倍率など、オーバーレイエラーを最小限にするように制御される必要がある他のリソグラフィ装置パラメータであってもよい。ターゲットは、たとえばトランジスタのオン/オフ電流、トランジスタ閾値、またはトランジスタの状態を数値化する他の何らかのパラメータなどのパラメトリックデータであってよい。ターゲットは、たとえば(場合によっては可または不可のいずれかとして測定される)ウェハ上の所与のダイまたはエリアの機能性などの歩留り情報であってもよい。ターゲットは、半導体デバイス性能データであってもよい。
ステップ604において、ターゲットの評価に役立つパラメータが識別され、ステップ606において、パラメータに関連する入力データが収集される。入力データのセットは全て、特定の出力またはターゲットに相関付けられる。たとえば、測定値および観測値のセットがオーバーレイオフセットに相関付けられ得る。これらの値は、モデルへの入力ベクトルであり、たとえば測定されたオフセットなどのターゲットに相関付けられる。n個の入力変数がある場合、ターゲットごとの入力ベクトルサイズは1×nである。したがって、m個のターゲットがある場合、サイズm×nの入力データマトリクスが存在し、入力データマトリクスの各行はターゲットに関連する。これは、機械学習アルゴリズムのためのマトリクス形式の典型的な訓練セットである。このマトリクスの説明が以下の表IIに示される。
Figure 0006751871
ターゲットデータは、他のプロセスが完了した後に収集されてよく、あるいは半導体デバイスが全ての処理を終えた後に収集されてもよい。パッケージング後のデータがターゲットとして用いられてもよい。
オーバーレイエラー補償およびリソグラフィ装置制御において既に常用されているパラメータのいくつかは、この入力データセットの一部として用いられる。たとえば、これらの常用パラメータは、メトロロジー機器からのDBO測定値、ウェハ形状およびジオメトリ測定値、またはリソグラフィ装置からのパラメータを含み得る。
最も重要なことに、上流半導体プロセスおよびメトロロジーからの他のパラメータが同様にアルゴリズムへの入力として用いられ得る。これらの入力パラメータは、光リフレクトメトリまたはエリプソメトリを含む、前のプロセスステップからの他のメトロロジー測定値(垂直入射、偏光または無偏光、入射斜角、および様々な方位角)を含み得る。
メトロロジー測定値は、所与の波長における強度としてのアルゴリズムへの入力であってよい。たとえば、メトロロジーデータは、特定のステップ(たとえばエッチングまたは堆積)後に行われるリフレクトメトリ測定から取り込まれてよい。リフレクトメトリデータが広帯域無偏光でターゲットを照射することによって収集され、250nm〜850nmの検出可能波長範囲を有する場合、ユーザは、2nm間隔で250nm〜850nmの光をサンプリングのために選択し、その波長範囲について合計301のスペクトル強度測定値を得ることができる。これら301のサンプルは、各々がアルゴリズムへの入力になる。入力データがどのようにターゲットに相関付けられるかの例が表IIIに示される。
Figure 0006751871
メトロロジー測定値は現場で、または特定の半導体プロセスの完了後、得られ得る。たとえば、メトロロジーデータは、現在のリソグラフィステップに先立つ1または複数の処理ステップにおいて生じるCMPステップ後に収集され得る。メトロロジー測定値は、各メトロロジーシステムによって決定された厚さデータ、または屈折率および吸収係数であってもよい。他の例において、メトロロジーデータは、エッチングプロセス中に収集され得る。フォトルミネセンスからの光学発光スペクトルまたはスペクトルデータが入力データとして利用され得る。
たとえばエッチング、堆積、またはCMPなど特定のプロセス中に収集された現場スペクトルデータまたは他のセンサデータに、データ変換または特徴エンジニアリングが実行され得る。一例として、複数のスペクトルがプロセス中に現場で収集されてよい。使用されるスペクトルセットは、プロセス中に収集された全てのスペクトル、またはプロセス中に収集されたスペクトルのサブセットであってよい。たとえば平均、標準偏差、最小、および最大などの統計値が時間にわたりスペクトルの波長間隔ごとに収集され、データ入力として用いられ得る。他の例として、所与のスペクトルに関して同様の統計値が収集され、それらの統計値の時系列がデータ入力として用いられ得る。また他の例として、スペクトルにおける頂部および谷部が識別され、(同様の統計的変換を適用して)データ入力として用いられ得る。スペクトルは、プロセス雑音またはシステム雑音を低減するために正規化またはフィルタ(たとえばローパスフィルタ)される必要があり得る。現場スペクトルデータの例は、ウェハからのリフレクトメトリ、光学発光スペクトル(OES)、またはフォトルミネセンスを含む。
入力パラメータは、たとえばプローブおよび他の種類の接触測定、すなわち高解像度プロファイラ(HRP)などの接触測定によって得られたRs(導電性、抵抗率)測定値など、非光学測定値を含んでもよい。
入力パラメータは、エッチング装置のプラズマ電極とマッチングネットワークとの間に設置され得るプラズマインピーダンスモニタ(PIM)に由来してもよく、リアクタンス、インピーダンス、抵抗、電流、電圧、電力、位相、および基本周波数に関するデータを供給し得る。
たとえば気体流センサ、電力センサ、圧力センサ、温度センサ、電流センサ、電圧センサなどのプロセス機器測定値またはメトリックがアルゴリズムへの入力として用いられてもよい。このデータは、オーバーレイが測定および制御されるリソグラフィステップの前に生じるプロセスステップにおいて収集され得る。これらの例は、エッチングチャンバからのプロセス時間、RF周波数および電力、CMPツールからの電流およびインピーダンス測定値、CMP研磨時間、モータ電流、質量流量コントローラからのCVD堆積時間および情報、温度、圧力などを含む。このデータは、実行中のリソグラフィステップより上流の任意または全てのプロセスから得られ得る。
たとえばチャネル幅および深さ、トランジスタ閾値、および抵抗などのパラメトリックデータおよび測定値がアルゴリズムへの入力として用いられてもよい。
DBO技術において用いられる回析スペクトルまたはデータも同様に入力の一部であってよい。上述した入力は全て、DBO出力におけるわずかな変動に相関付けられ得るので、エッチングからのCD測定値が与えられると、オーバーレイエラー補償のより良い制御またはより良いリソグラフィ制御をもたらし得る。
エッチング後に得られるCD測定値は、入力として選出する重要なパラメータである。上述したように、これらの測定値は、デバイス性能または歩留りを決定するためにオーバーレイエラーに畳み込まれる。
DBO測定システムにおいて、オーバーレイを測定するために回析光が用いられる。しかし、上流プロセスにおける変化は、スペクトルシグネチャに影響を及ぼし得る。たとえば、上流フィルム特性の屈折率にシフトがあると、スペクトルシグネチャが変化し得る。同様に、プロセスシフトによって回析格子の側壁角がシフトすると、それがスペクトルシグネチャにおける変化を引き起こし得る。したがって、回析スペクトルへの影響を有し得る上流データを用いて機械学習アルゴリズムを訓練することによって、上流プロセスと回析格子のスペクトルシグネチャとの間で相関性が発見された場合にオーバーレイエラーが厳密化され、またはオーバーレイ測定がより正確に行われ得る。
図6を参照すると、ステップ608において、フィルタリング、正規化および/またはクレンジングステップが入力データに実行され得る。
ステップ610において、次元縮小または特徴選択ステップが実行される。このステップの目的は、アルゴリズムに関する入力パラメータの数を減少させることである。たとえば主成分分析(PCA)などの次元縮小技術が一般に知られている。
ステップ612において、データはその後、訓練のためにアルゴリズムに供給される。アルゴリズムは、多数の様々な種類のアルゴリズムの1つであってよい。機械学習アルゴリズムの例は、たとえばCART(分類および回帰ツリー)、C5.0、C4.5、およびCHAID、サポートベクトル回帰、パーセプトロン、バックプロパゲーション、および深層学習(ビッグデータ対応)を含む人工ニューラルネットワーク、および、ブースティング/バッギング、ランダムフォレスト、およびGBM(グラディエントブースティングマシン)を含むアンサンブルなどの決定ツリーを含む。最良のアルゴリズムは、単一のアルゴリズムではなくアルゴリズムの集団であってもよい。
特に、GBM(グラディエントブースティングマシン)およびランダムフォレストアルゴリズムは、最良の結果をもたらし得る。上述したものを含む他の機械学習アルゴリズムも良好に機能し得るものであり検討すべきである。
訓練入力および訓練ターゲットを与えられると、アルゴリズムは、ステップ614においてモデルを生成する。モデルはその後、ステップ616において展開され得る。
図7は、測定値、算出パラメータ、またはモデル化パラメータであってよい、特定のターゲットに関連する複数の入力パラメータ712a、712b、・・・712xを有するマトリクス712である、入力特徴セット710のための入力データを収集する1つの例である。入力データは、ウェハ製造中、ウェハ試験および仕分けおよび/またはウェハプローブ試験において、またはその前に収集されてよい。たとえば、入力データは、エッチング、CMP、ギャップフィル、ブランケット、RTPなどに関するステップ中にプロセス機器720から収集されてよく、たとえばプロセス持続時間、温度、圧力、RF周波数などのプロセス変数を含んでよい。また入力データは、たとえばCD、ウェハ形状、フィルム厚さ、フィルム抵抗率、インラインまたは現場測定値などのメトロロジーデータ730も含んでよい。また入力データは、たとえばチャネル長さ、チャネル幅、チャネル深さ、トランジスタ閾値、抵抗などのパラメトリックデータ740も含んでよい。
図8は、モデルの使用法を示す。ステップ802において、指定された入力データがたとえば入力ベクトルとして収集され、その後ステップ804においてモデルに供給される。指定されたデータのいくつかが1xnベクトルに存在しない場合、入力ベクトルにおいて欠落データを置換または推定し得る複数の技術がある。
ステップ806において、アルゴリズムモデルに供給されるサイズ1xnの入力ベクトルごとに、スコアが生成される。スコアは、入力データが与えられると、モデルによって作製されるターゲットの予測である。モデルによって生成されるスコアは、モデルを生成したアルゴリズムを訓練するためにどのようなメトリックが用いられたかに対応する。たとえば、アルゴリズムを訓練するためにターゲットに関してDBO測定が用いられた場合、スコアは、予測DBO測定値である。ターゲットがパラメトリック試験値であった場合、スコアは、そのパラメトリック試験値の予測である。典型的な状況において、スコアは、オーバーレイオフセット予測、たとえばx方向またはy方向におけるオフセットであってよい。ステップ808において、スコアは、リソグラフィ装置の1または複数の構成要素に行われる調整を決定するために用いられる。たとえば、リソグラフィ装置パラメータまたは「制御ノブ」に調整を行い、オーバーレイエラーを調整するために、オフセットデータが制御システムに適用され得る。
スコアに加えて、モデルは、スコア予測がどの程度信頼できるかを記述する信頼メトリックも出力し得る。これは、スコアを利用するか否か、または他の従来の測定と併せてその予測の使用を重み付けするか否かの決定に役立ち得る。たとえば、予測されたオフセットが3.0nm、DBO測定されたオフセットが6nmであり、予測に(1.0中)0.8の信頼度がある場合、最終予測オフセットは、(3.0×0.8)+(6.0×0.2)=3.6nmとなる。
上述したように、CDエラーおよびオーバーレイエラーの畳み込みは、デバイス性能に影響を及ぼし得る。デバイス性能を最適化するために、所与のCDに関してオーバーレイを調整することが必要であり得る。1つの実施形態において、機械学習アルゴリズムは、たとえばフォーカス、電力、またはxy方向制御などのリソグラフィ装置制御パラメータをターゲットとするモデルを作成するために、CDエラー測定およびオーバーレイエラー測定とともに、上述した入力データの全てまたは一部を用いて使用され得る。リソグラフィ装置の出力が最良の半導体デバイス性能または歩留りをもたらすように、(測定されたCDを前提に)リソグラフィ装置制御パラメータを最適化することが目標である。
新たな入力データおよび対応するターゲットデータが生成されると、アルゴリズムは、より良いスコアを出すより良いモデルを生成するように再訓練され得る。アルゴリズムのセットは、同じ入力およびターゲットデータセットによって同時に訓練され得る。最良の出力を出すアルゴリズムが、最終的に展開されるアルゴリズムであってよい。あるいは、アルゴリズムの集団が、利用すべき最良のアルゴリズムとして識別されてもよい。最良のアルゴリズムは、訓練データセットにおける確認試験の平均を通して、どのアルゴリズムが最良の結果を出すかによって識別される。たとえば、k平均交差確認は、確認アルゴリズムのための一般的な技術である。
上述したように、入力データセットは、前処理を受けなければならない。前処理ステップは、入力データセットの品質を改善し、モデルによって行われる予測の正確さおよび精度を高め得る。いくつかの実施形態において、たとえばデータの正規化またはパラメータ付けなど、他のデータ作成技術が入力データに適用され得る。
また、データ内のドリフトおよびシフトを補償するためにzスコアが生成され得る。たとえばツールが校正された場合、入力データがシフトし得る。シフトが生じると、それによって入力データの全体平均および標準偏差が変化することにより、モデルによって不良な結果が生じる。たとえばプロセスツールが校正を受けた場合のようにシフトが生じると、人間またはアルゴリズムのいずれかが合図し、誤った予測が存在しないことを確認するために、校正後、(アルゴリズム予測が製品に適用されない)「リスニングモード」で一定期間データが収集され得る。所定の期間の後、そのデータからzスコアが生成される。zスコアは、校正前に生じたデータのzスコアと類似するはずである。これは、校正が行われる前後にデータを正規化する例である。
いくつかの実施形態において、上流のプロセス機器およびメトロロジーデータによって生成された仮想メトロロジー予測がモデルへの入力として用いられ得る。これは基本的に、第1のアルゴリズムによって第1に仮想メトロロジー予測が決定されるマルチステップモデルまたはアルゴリズムを表す。たとえば、出力は、オーバーレイエラー補償、オーバーレイエラー測定、または歩留り予測のために設計された他のアルゴリズムへの入力として用いられ得る。
アルゴリズムによる予測は、製品における全ての試験および製造が完了した後、行われ得る。典型的な状況において、製品が全ての最終試験を可で通過した場合でも、製品が出荷後および/または使用中に機能しなくなるかを予測することが目標である。
アルゴリズムは、機械学習アルゴリズムの種類である分類または回帰アルゴリズムであってよいが、多数の異なる種類のアルゴリズムの1つであってもよい。使用され得るこれらのアルゴリズムのいくつかの例は、決定ツリー、CART(分類および回帰ツリー)、C5.0、C4.5、CHAID、サポートベクトル回帰、人工ニューラルネットワーク、パーセプトロン、バックプロパゲーション、深層学習、アンサンブル、ブースティング/バッギング、ランダムフォレスト、GBM(グラディエントブースティングマシン)、アダブーストを含む。
いくつかの実施形態において、最良のアルゴリズムは、単一のアルゴリズムではなくアルゴリズムの集団であってもよい。アルゴリズムの集団は、どのアルゴリズムまたはアルゴリズムの組み合わせが最良の予測をもたらすかを決定するために様々な技術を用い得る。たとえば、集団アルゴリズムは、集団内のアルゴリズムの全てからの平均推奨を採用し得る。他の例において、集団アルゴリズムは、最終推奨を生成するために投票スキームを用い得る。集団アルゴリズムは、最良の予測を生成するために個々のアルゴリズムの集合に適用される様々な重み付けスキームを用い得る。
特に、GBM(グラディエントブースティングマシン)およびランダムフォレストアルゴリズムを用いて良好な予測が生成されている。
スコアは、モデルが展開されるとモデルに供給される入力ベクトルごとに行われる予測である。たとえば、ウェハがウェハ試験で「優良」であると識別されるか否かを予測することが目標である場合、入力ベクトルは、そのウェハに関連する全ての入力データから構成されてよく、その入力データは、予測を行うためにモデルに供給される。
いくつかの実施形態において、モデルは、スコアがどの程度信頼できるかを記述し得る信頼メトリックも出力し得る。これは、スコアを利用するか否かの決定、または最終試験を最適化するため、またはバーンイン時間を計算するために役立ち、あるいは最終歩留り予測において用いられてよい。マルチステップアルゴリズムの場合、信頼メトリックは、後続するアルゴリズムへの入力として用いられ得る。
アルゴリズムが分類アルゴリズムである場合、傾向メトリックも生成されてよく、1つの実施形態において、それは0〜1の値を有する。一例として、傾向値が0に近い場合、予測が一方の分類(たとえばFALSE)である可能性がある。傾向値が1に近い場合、予測が他方の分類(たとえばTRUE)である可能性がある。傾向メトリックは、所与の予測を行う際にアルゴリズムがどの程度信頼性が高いかを示してよく、すなわち、傾向メトリックが0または1のいずれかに近いほど、予測が正確であるという信頼性が高まる。マルチステップアルゴリズムの場合、傾向メトリックは、後続するアルゴリズムへの入力として用いられ得る。
実施形態において、新たな入力データおよび対応するターゲットデータが生成されると、アルゴリズムは、より良いスコアを出すより良いモデルを生成するために再訓練され得る。
いくつかの実施形態において、アルゴリズムのセットが、同一の入力およびターゲットデータセットによって同時に訓練され得る。最良の出力を出すアルゴリズムが展開のために選択され得る。
一例において、アルゴリズムは、finFET構造の処理および製造に適用され得る。流動可能なギャップフィルフィルム材料特性は変化しやすく、フィルム密度および光学特性に影響を及ぼす。これは、フィルム厚さを測定および制御するために用いられる光学メトロロジーを混乱させ、誤ったフィルム厚さ測定を招き得る。これは、finFETの製造において、ゲート高さの誤った測定を招き、ゲート高さを変動させる原因になり得る。変動するゲート高さは、ゲート容量の増加、漏洩、およびより高い駆動電流の必要性をもたらし得る。したがって、アルゴリズムへの入力は、エッチングプロセスパラメータ、流動可能なCVDプロセスパラメータ、CMPプロセスパラメータ、酸化物メトロロジー出力、透過型電子顕微鏡、および歩留り結果であってよい。アルゴリズムは、エッチングプロセス、流動可能なCVDプロセス、およびCMPプロセスに関する問題の検出および修理のいずれのためにも用いられ得る。
エッチング深さは、ゲート高さの決定において重大な役割を果たし得る。エッチングプロセスは、ゲート側壁角にも作用し、ゲート性能および光学メトロロジーシグネチャに影響を及ぼし得る。いくつかの実施形態において、エッチングプロセスパラメータは、問題を検出する、またはCMPプロセスを制御するために上記モデルへの入力パラメータとして用いられてよく、あるいは制御するためのターゲットであってもよい。アルゴリズムは、プロセスを制御し、プロセス問題点を検出し、より厳しいゲートスペックを実現し得る。いくつかの実施形態において、エッチングプロセスパラメータは、リソグラフィツール制御を決定する際の入力として用いられ得る。エッチングツールプロセスパラメータは、仮想メトロロジーの場合と同様、エッチング速度または最終エッチング深さを予測するために用いられ得る。仮想メトロロジーアルゴリズムの出力は、その後、たとえば中間ステップアルゴリズムとして、リソグラフィツール制御への入力として用いられ得る。
アルゴリズムは、3DNAND、すなわち垂直NANDメモリ構造の処理および製造にも適用され得る。垂直NAND(3−D NAND)構造を形成するために、半導体製造業者は、酸化層と窒化層、または酸化層と導電層との交互の層を用いる。これらの積層は、たとえば高さ2umなど非常に厚くなることがあり、厚さを増し続ける。その結果、高いストレス、層間剥離、および亀裂が生じる。
ストレス問題に対処するために、アルゴリズムは、これらのフィルム積層を測定するために用いられる(広帯域光メトロロジーを含む)現場およびインラインメトロロジーとともに、これらのフィルムのブランケット堆積のプロセスパラメータ(たとえば気体流量、温度、プロセスサイクル時間)を入力として用い得る。任意の物理的モデル化を明確に適用する必要はなく、歩留り/検査/ストレス試験と上述した入力との間に相関性が発見され、ブランケット堆積に関する問題が瞬時に識別され得る。
3−Dメモリの特徴付けおよび故障分析は多くの課題を呈しており、より良い特徴付けへの多大な必要性がある。現在、TEMおよびx線技術が用いられているが、これらは低いスループットであり、材料状態の変化をもたらし得る。また、プローブ故障とインライン欠陥検査との相関付けは、多数の欠陥が埋め込まれているという事実により困難である。構造欠陥を識別するためにEビーム検査が用いられることが増えているが、これには追加のコストがかかる。いくつかの実施形態において、アルゴリズムへの入力としてギャップフィルプロセスパラメータが用いられる。Eビーム3D検査もまた、アルゴリズムのターゲットとして用いられ得る。
5.オーバーレイエラーのためのプロセス例
1または複数の訓練ウェハにオーバーレイプロセスが実行されてよく、その後、訓練ウェハは実際のオーバーレイエラーに関して分析される。オーバーレイエラーを測定する最も正確な方法は、CD−SEMまたはTEMである。たとえば厚さ、直径ウェハ形状変動、面内変位、ストレス誘発性局所曲率、ウェハ厚さおよび平坦度変動、表面および裏面ナノトポグラフィ(NT)、ウェハエッジロールオフ(ERO)、滑り線など全ての利用可能なウェハジオメトリパラメータ、たとえば並進(x、y、z)、回転(x、y、z)、フォーカス傾斜、線量エラー、フォーカス残差、倍率、非対称倍率、非対称回転などのスキャナパラメータ、たとえばフィルム厚さ、トレンチ深さ、金属ゲートリセス、高kリセス、側壁角、レジスト高さ、ハードマスク高さ、ピッチ歩行などのCD測定値、たとえば屈折率および吸収係数(nおよびk光学定数)などのフィルム特性パラメータ、たとえばDBOおよびIBOなどの(DBO測定値自体とともに回析シグネチャの強度値を含んでもよい)他のオーバーレイ測定値のパラメータが、ターゲットとして対応する実際のオーバーレイエラーとともに、訓練モデルへの入力として用いられる。実際のオーバーレイ測定のウェハ上での位置は、適用可能な場合、その場所に関する全ての入力パラメータの位置と照合される。たとえば温度、圧力、プロセス持続時間などいくつかのプロセスパラメータおよび他のツール関連パラメータはウェハごとに収集され、特に場所にはマップされなくてよい。むしろ、場所固有情報が適用可能または利用可能ではない場合、所与のウェハに関する全ての場所が、ウェハに関して収集された同一の値を含む。あるいは、オーバーレイエラー測定の空間分解能が所与の入力パラメータの空間分解能(たとえばウェハ上で9カ所のCD測定)を上回る場合、最も近い入力パラメータが、実際のオーバーレイエラー測定値にマップされる。これを行うために適した技術は、k平均クラスタリングである。他の技術は、入力パラメータの値を決定するための補完(3−D)または3次スプラインを含む。
DBOおよびIBOは、プロセスおよびジオメトリの影響によって、オーバーレイを測定するための完璧な技術ではない。たとえば図9は、143の測定値に関して、DBOと、より正確なCD−SEMとのオーバーレイ表現の誤差を示す。DBOパラメータ(たとえば回析スペクトルの各波長における強度など)がDBO予測測定値とともに入力データセットに含まれる場合、リソグラフィツールのプロセスパラメータに図9に示す誤差を相関付けることが可能である。
1つのアプローチは、DBO測定とCD−SEM測定との差分としてターゲットを指定する。DBOとCD−SEMまたはTEMとの間の関連する誤差は入力データセットに帰され、生産中に補正され得る。
訓練入力データセットが編成されると、それがクレンジングされる。訓練入力データは破損値を有することがあり、その場合、破損値は除去され、ブランクまたはヌル値と置き換えられる。データセットは、たとえばロットまたはウェハIDなど様々な情報特徴に関して一貫性のない値を含み得る。たとえば、ロット種類は、いくつかの場合には「lot_A」、他の場合には「lot.A」として現れることがある。これらの値は全て、たとえば「lot.A」など、同一の名称に変換される必要がある。
入力データはその後、正規化または変換される。たとえば、ツール校正の場合、データは平均シフトされる必要があり得る。所与の入力データセットにおける様々な母集団または分布について入力データセットからzスコアが計算されてもよい。たとえば、時間Aと時間Bとの間で所与のツール校正に関して入力の一部が補正された場合、そのデータは正規化され、またはデータのその部分についてzスコアが生成される。時間Bと時間Cとの間で異なるツール校正が用いられる場合、その部分に関して正規化またはzスコア生成が実行される。その結果が、ツール校正に集約的な全データセットである。データ変換の必要性を生じ得るツール校正以外のイベントは、上流プロセス変化および消耗変化である。生産が開始すると、同じ変換が適用される必要があることに留意することが重要である。変換を行うためにリアルタイムの生産中に十分なデータを集めるために、変換を行うためにユーザの指定した量のデータが収集されるまで、予測は適用されなくてよい。しかし、変換されたデータは、モデルに関して重要な特徴ではないと決定されることもある。
訓練データセットは、過剰適合または過剰バイアスではない堅牢なモデルが組み立てられることを確実にするために、訓練、試験、および確認部分に分割され得る。典型的な分割は、60%が訓練、30%が試験、および10%が確認であってよい。たとえばIBM SPSSモデラなどの分析プラットフォームにおいて実装されるブーステッドまたはブートストラップ集計モデルなど、いくつかのモデルの場合、試験データセットはモデルを更に最適化するために用いられ、確認セットは任意のモデル訓練または最適化作業に対して完全に無知であるため、試験セットと確認セットとは別々にされる必要がある。たとえば標準的な線形回帰など他の種類のモデルの場合、訓練と試験のみに区分分けすることが許される。任意の所与の訓練セットに対してモデルが過剰適合しないことを確実にするために、たとえばk重交差確認などの技術がモデル組立てフェーズ中に利用され得ることに留意することが重要である。これは、全てのデータが訓練または試験部分を知ることを確実にするために、データセットの訓練/試験/確認部分を循環させることを伴う。
所与の入力が多数の欠損値または破損値を有する場合、その入力特徴は、モデルを訓練する際の考慮事項から排除されてよい。たとえば、所与の入力特徴に関してデータの50%より多くが存在しない場合、その入力特徴は放棄され得る。あるいは、欠損データフィールドが公称値で補われてよく、または値を含まない記録は訓練データセットから完全に排除されてもよい。どの技術を用いるかの決定は、所与の入力特徴の重要性に関する人間の判断に基づいて決定され得る。
データセットは、所与のキーに関してマージする必要があり得る。キーは通常、ウェハまたはスキャナ上のxy座標であり、あるいはダイ番号であってもよい。上述したように、データセットが所与のキー(3次スプライン、補間、または最近傍)にマップされる必要があり得る。たとえば特定のダイまたはその位置などのウェハ上の位置は、適用可能な場合、その場所に関する全ての入力パラメータの位置と照合される。たとえば温度、圧力、プロセス持続時間などいくつかのプロセスパラメータおよび他のツール関連パラメータはウェハごとに収集され、特に場所にマップされなくてもよい。むしろ、所与のウェハに関する全ての場所は、場所固有情報が適用可能または使用可能でない場合、ウェハに関して収集された同一の値を含む。あるいは、ダイ位置の空間分解能が、所与の入力パラメータの空間分解能(たとえばウェハ上で9カ所のCD測定)を上回る場合、最も近い入力パラメータがその実際のダイにマップされる。これを行うために適した技術が、k平均クラスタリングである。他の技術は、入力パラメータの値を決定するための補間(3−D)または3次スプラインを含む。
訓練入力データセットは、何千もの入力特徴を含んでよく、入力特徴の関連セットが決定される必要があり得る。オーバーレイエラーとの弱い相関性しかない無関係の入力特徴を排除するためのプロセスが実装される必要があり得る。このプロセスにおける第1のステップとして、全く変化がない入力特徴は排除され得る。
また、特徴選択のための数々のアプローチも存在する。1つのアプローチは、どの入力特徴がオーバーレイエラーの予測に最も関連するかを識別するランダムフォレストを実装することである。他の技術は、CHAID決定ツリーであり、これもまた重要な特徴を識別するものである。他の技術として線形回帰がある。他の技術としてANOVAがある。
あるいは、次元縮小が利用されてもよい。一般的な次元縮小技術は、部分最小二乗法および主成分分析を含み、これらは、初期入力パラメータの大きなセットに基づいて入力パラメータの新たなより小さなセットを作成する。たとえば、5000の特徴の入力セットは、データ内の分散の重要部分を説明し得る30の新たに生成された主成分の入力セットに低減され得る。次元縮小ステップの結果または出力は、モデルへの新たな入力として用いられ得る。たとえば、PCAによって生成された主成分がモデルへの入力であってよい。主成分は、入力のより大きなセットから低減された入力のセットを表す。
元の入力データから、仮想メトロロジーモデルのセットが構成されてよい。仮想メトロロジーモデルの目的は、半導体製造プロセスにおける重要なメトリックを予測することである。たとえば、エッチングツールプロセスパラメータなど特定の上流変数、たとえば堆積ツールプロセスパラメータなど前のステップの厚さおよびプロセス変数、CMPプロセスパラメータ、およびフィルムの光学nおよびk値が与えられると、エッチング深さが予測されてよい。いくつかの実施形態において、エッチングプロセスパラメータは、リソグラフィツール制御を決定する際の入力として用いられ得る。エッチングツールプロセスパラメータは、(仮想メトロロジーの場合と同様に)エッチング速度または最終エッチング深さを予測するために用いられ得る。仮想メトロロジーアルゴリズムの出力は、その後、中間ステップアルゴリズムとしてリソグラフィツール制御への入力として用いられ得る。中間ステップアルゴリズム(または仮想メトロロジーアルゴリズム)の出力は、オーバーレイエラーを決定するための入力変数として用いられ得る。
モデルにおける特定のパラメータは、最良モデルを決定する際に重要であり、その特定の変動が試行され得る。予測オーバーレイエラーと実際のオーバーレイエラーとの誤差が最小になるモデルパラメータの最良の組み合わせが選択される。たとえば、決定ツリーリーフにおいて許容された最小数の記録が設定されてよく、またはランダムフォレストアルゴリズムまたはGBMモデルにおいて利用される弱い学習者の数、またはランダムフォレストアルゴリズムにおける弱い学習者ごとの入力特徴の数でもよい。
候補モデルは、オーバーレイエラーを予測し、それらと確認用ウェハにおける実際のオーバーレイエラーとを比較する。予測精度が、オーバーレイバジェットおよび他の考慮事項に基づく特定の閾値を満たす場合、候補モデルは有効であるとみなされ、訓練および確認用ウェハと同様の処理条件を共有する他の生産ウェハにおけるオーバーレイエラーを予測するために展開される準備ができる。
モデルまたはマルチステップモデルおよび関連パラメータが選択されると、モデルは第1に、ウェハの生産の進行と同時にオーバーレイエラー予測が行われる「リスニングモード」で生産中に実装される。予測されたオーバーレイエラーは、実際のオーバーレイエラーと比較され得る。予測されたエラーがユーザの定めた閾値またはオーバーレイエラーバジェットの範囲内であると分かった場合、生産を進行し続けることができ、更なるデータが収集される。
モデルが、測定された実際のオーバーレイエラーと比べて定められた制限範囲内の予測をしない場合、その時点までに収集されたデータ全てが、上記ステップに概要が示されたようにモデルを再訓練するために用いられる。再訓練された後、モデルがユーザの定めた閾値の範囲内である結果を予測するようになると、モデルは、生産中にリスニングモードで再展開される。モデルが、ユーザの指定した期間(たとえば生産中の8週間)に、指定されたエラー限界(予測―実際のオーバーレイ)の範囲内で機能する場合、モデルは、実際の生産で用いられる実際のオーバーレイ測定値の一部と置き換えられることができる。時間にわたりモデルが良好に機能し続けると、次第に多くの製品が予測されたオーバーレイに頼るようになり、最終的に全ての製品にオーバーレイ予測が用いられることになる。
新たなデータが利用可能になる度、モデルはユーザの定めた間隔で(たとえば1週間に1度)継続的に再訓練される。モデルを再訓練するために、利用可能なデータセット全体が用いられてよい。モデルを訓練するために、たとえば直近の3か月のみなど所定の期間にわたり利用可能な最新データのみを用い、プロセスが著しいシフトを経験するとともに極めて古いデータは使われなくなるので廃棄することが有益にもなり得る。モデル訓練のために、入力およびターゲット分散の極値を定義する古いデータを保持し、モデル訓練効率の維持またはメモリ空間の節約のために古い冗長データを廃棄することが有益にもなり得る。フル生産のリリース後でも、実際のオーバーレイ測定値と継続的に比較することによって、予測されたオーバーレイの性能を継続的に監視することが有益になり得る。予測されたオーバーレイと実際のオーバーレイとの誤差が許容値の範囲外であることが分かると、予測が許容値の範囲外になった原因が決定され、モデルが再訓練されて徐々に生産に戻されるまで、一定期間にわたり予測は展開されない。
候補モデルが決定されると、生産ウェハから1または複数の確認用ウェハが選択され、パターン化ウェハジオメトリメトロロジーツールを用いて確認用ウェハに関するパターン化ウェハジオメトリパラメータが得られる。1または複数の確認用ウェハにオーバーレイプロセスが実行され、1または複数の確認用ウェハは実際のオーバーレイエラーに関して分析される。候補モデルは、オーバーレイエラーを予測し、それらと確認用ウェハにおける実際のオーバーレイエラーとを比較する。予測精度が、オーバーレイバジェットおよび他の考慮事項に基づく特定の閾値を満たす場合、候補モデルは有効であるとみなされ、訓練および確認用ウェハと同様の処理条件を共有する他の生産ウェハにおけるオーバーレイエラーを予測するために展開される準備ができる。
候補モデルが確認されると、残っている生産ウェハは、ウェハジオメトリパラメータを決定するためにパターン化ウェハジオメトリメトロロジーツールを用いて走査される。ウェハジオメトリパラメータおよび展開される予測モデルに基づいて、システムは、残っている生産ウェハに関してオーバーレイエラーを予測し、予測されたオーバーレイエラーを補正するためにリソグラフィスキャナを調整する。ポイントツーポイント予測は、予測されたオーバーレイを前送りすること、調整を適用すること、およびその結果として露光後の実際のオーバーレイエラーを低減することのために不可欠である。
6.歩留り予測
半導体デバイスの製造業者にとって歩留りを予測することは概して重要であり、半導体デバイスの製造にかかるコストがますます増えつつあるため、いっそう重要である。歩留り予測は、プロセス中の様々なステップにおいて行われ得る。
製造プロセスの任意の段階で歩留りを正確に予測することができれば、後のプロセスにおけるコストを最適化および節減することが可能になる。たとえば、ウェハ仕分けおよび試験前にデバイスが不良であると予測することができれば、そのデバイスの更なる試験および処理が回避され、追加の処理コストが節減され得る。一般的に、半導体製造プロセスには何百ものステップが存在する。ウェハの製造に関するプロセスは、ウェハ試験および仕分け、組立て/パッケージング、最終試験、およびバーンインを通常含む製造後の段階に移行するまでに2〜3か月間を要し得る。これらのステップの各々において、予測歩留りが計算され得る。製造歩留りは、所与のプロセスに投入された全ウェハに対する、ウェハ製造プロセスを完遂する優良ウェハの比として測定され得る。ウェハ試験歩留りは、ウェハ試験に投入された全チップに対する、ウェハ試験で決定された欠陥のないチップの比として計算され得る。組立ておよびパッケージング歩留りも同様に、すなわちこれらそれぞれのプロセスに入った全チップに対する優良チップの比で計算される。
歩留り予測のための既存の技術は、一変量分析に主に基づいてきた。たとえばマルコフ連鎖は、欠陥の数を前提にチップが正の歩留りをもたらすかを予測する。しかし、試験データの量が非常に多くなるにつれ、多変量分析が一般的になりつつある。多変量分析に利用される一般的な技術は判別分析であるが、この技術は、データが正規分布かつ独立的であることを前提としており、これは常であるとは限らない。
多変量分析の必要性を更に高めているのが、半導体製造プロセスにおいてアクセス可能なデータの量が増加を続けているという事実である。しかし、機械学習アルゴリズム、データマイニング、および予測分析を用いることによって、大量のデータセットの処理の管理が可能になる。また、多くの機械学習アルゴリズムに関連する信頼および傾向メトリックが、ウェハ仕分け/試験、最終試験、およびバーンイン作業を最適化するために用いられ得る。
半導体製造業の場合、出荷するパッケージチップを試験する際、100万あたりの欠陥部品の分量(DPPM)が評価される。典型的な状況において、どの製品/ダイが欠陥品であるかを決定するために、ウェハ仕分けにおいて、かつ部品(すなわち製品)がパッケージされた後にも、機能/構造試験パターンが用いられる。その後、機能システムレベル試験が続く。各後続段階における試験のコストは、前段階よりも大幅に高くなり得る。通常、パッケージされた製品は、ウェハ仕分けにおいて使用された、または機能試験パターンとともに使用されたもののいずれかと同じ構造パターンを用いて、バーンインチャンバ内およびロードボード上で試験される。そのような試験のコストは、設計複雑性が増すにつれ、過去数年間で著しく増加してきた。
マイクロチップの製造に関する典型的なビジネスモデルは、鋳造所/ファブレスモデルであり、ウェハは鋳造所で製造された後、後続する処理および試験のためにファブレスデザインハウスまたはパッケージングパートナーへ受け渡される。「known good die」(KGD)という用語は、ウェハ仕分け/試験中またはそれ以前のダイであり、パッケージされたそれらの対応物と同じ品質および信頼レベルであることが試験されているものを指す。ダイが仕分け/試験フェーズでは可であったがウェハ仕分け後の何らかの時点で欠陥品であると分かった場合、デザインハウスまたはパッケージングハウスは、ウェハ仕分け後の製品の製造において行われた全ステップのコストを負担し得る。1つのビジネスモデルにおいて、ウェハ仕分けを通過した鋳造所からのダイは、ファブレスデザインハウスによって買い入れされる。パッケージング後にダイが欠陥品であると分かった場合、デザインハウスはこれらのダイの代金を支払う。これは、たった1つのダイが不良であると分かった場合でもパッケージチップ内の全てのダイが廃棄されなければならないため、積層ICまたはマルチチップモジュールに含まれるダイの場合、非常に高額になり得る。
したがって、ダイがパッケージ後に機能するかを可能な限り早い段階で知ることが非常に重要になる。ウェハ仕分けにおいて、または最終試験の様々な段階において、またはバーンイン前に、パッケージ後の歩留りをより正確に予測することができれば、パッケージング後の欠陥製品を所有するいずれかの会社によって負担されるコストを大幅に削減することができる。また、予測および信頼メトリックが決定され、バーンイン時間を最適化するために用いられてよく、その結果、大幅なコスト節減がもたらされ得る。
概して、製品に関する歩留り予測は、製品の品質または使用可能性を参照する。1つの実施形態において、歩留り予測は2つの値の一方、すなわち「可」または「不可」(あるいは「優良」または「不良」、あるいは「使用可能」または「使用不可能」)のいずれかであってよい。たとえば、所与の製造ステップにおいて製品に関する歩留り予測が「可」である場合、製品はその製造プロセスの時点で使用可能であると予測され、処理を継続すべきである。歩留り予測が「不可」であると予測された場合、その製品はその製造ステップの時点で欠陥がある、または使用不可能であると予測され、継続した処理を推奨されない。このように歩留り予測は、製品の処理を継続することがコスト効率的に良いかを決定する際に役立つ。いくつかの実施形態において、歩留り予測は、製品の処理を継続するか否かの決定における構成要素である。歩留り予測は必ずしも、製品の処理を継続するか否かに関して決定する際の唯一の変数ではない。
本開示は、ウェハ仕分けの前後および最中に歩留りを予測するための新規の技術を説明する。これらの歩留り予測は、ウェハ仕分け、最終試験、バーンイン、および他のウェハ仕分け後の試験においてより正確に歩留りを予測することによって、コストを削減するために用いられ得る。歩留り予測およびそれらに関連する信頼メトリックは、ウェハ仕分け後にどの試験を実行するかに関して決定するためにも用いられ得る。歩留り予測は、バーンイン時間を最適化および低減するためにも用いられ得る。
1つの実施形態において、歩留り予測は、分類システムまたはアルゴリズムの予測または結果であってよい。分類システムまたはアルゴリズムは、アルゴリズムへの入力データセットが与えられると、全ての製造ステップが完了した後に製品が機能するかしないかを決定し得る。たとえば、分類システムまたはアルゴリズムが、製品が機能すると予測した場合、歩留り予測はポジティブである、すなわちその製品は収益を生むと考えてよい。たとえば、可である/機能する製品を示すために「0」が割り当てられてよく、不可である/機能しない製品に「1」が割り当てられてよい。
オーバーレイエラーに関して上述したように、歩留り予測を行うために用いられる分類システムまたはアルゴリズムは、アルゴリズムへの入力データが与えられると、可または不可の分類とともに信頼または傾向メトリックも供給し得る。信頼または傾向メトリックは、一定範囲または不定範囲の値であってよい。典型的な状況において、値は、0〜1の実数であってよい。この例において、値が0に近い場合、信頼性が低い。値が1に近い場合、信頼性が高い。
信頼値を高または低の2進法にするため、信頼値に関する閾値が設定され得る。たとえば、信頼メトリックが0〜1の間で変化する場合、閾値は0.5に設定され、0.5を上回る信頼値が高い信頼性とみなされ、0.5未満の値が低い信頼性とみなされる。
信頼または傾向メトリックは、図10に示すように、最終歩留り予測を行うために可または不可の分類と併用されてよい。ステップ1002において、分類アルゴリズムにデータが入力される。ステップ1004において分類アルゴリズムが、製品が可であると予測し、ステップ1006において分類予測に関する信頼メトリックが高い場合、ステップ1008における歩留り予測はポジティブであると考えられ、製品が可である高い信頼性があることを意味する。
しかし、分類アルゴリズムがステップ1004において製品が可であると予測しても、ステップ1006において信頼値が低い場合、ステップ1010における歩留り予測は、偽ポジティブ結果を生じないようにネガティブである。いくつかの状況において、実際には欠陥があるにもかかわらず優良であると予測される製品は、製造業者にとって非常にコストがかかり得るので、この性質の偽ポジティブは非常に望ましくない。
上記のオーバーレイエラーの予測の説明と同様、歩留り予測は、機械学習、予測分析、およびデータマイニングアルゴリズム(これら全てをアルゴリズムと称する)によって行われ得る。オーバーレイセクションにおいて識別される入力データの種類は、歩留りの予測および他のターゲットの評価にも関連する。また、オーバーレイセクションにおいて上述した技術および例は、歩留りの予測または他のターゲットの評価にも関連するので、参照によってこのセクションにも同様に組み込まれる。したがって、入力データの識別、入力データの収集、入力データの変換、モデルの訓練および再訓練、およびモデルの展開に関して説明された技術は、歩留り予測および他のターゲットの評価にも適用可能である。図11は、歩留りを予測するために半導体製造プロセスを評価するためのモデルを作成および展開するための方法1100を示す。ステップ1102においてターゲットが選択される。1つの実施形態において、ターゲットは、製造プロセス全体に関する全歩留りである。他の実施形態において、ターゲットは、個々のプロセスステップに関する歩留りである。ターゲットは、ウェハ上の個々のダイ、またはウェハ全体に関する歩留りであってよい。ターゲットは、バーンイン前の最終試験におけるパッケージチップまたは製品、あるいはバーンイン後の最終試験におけるパッケージチップまたは製品の歩留りであってもよい。
ステップ1104において、歩留りを評価する際に役立つパラメータが識別され、ステップ1106において、パラメータに関連する入力データが収集される。入力データのセットは全て、特定の出力またはターゲットに相関付けられる。たとえば、測定値および観測値のセットは実際の歩留り値に相関付けられ、これらの値はモデルへの入力ベクトルとして供給される。
概して、アルゴリズムへの入力データは、ウェハ製造中に実行される任意のまたは全てのプロセスからの入力データであってよい。ウェハ仕分けおよび試験の前に収集される、半導体製造プロセスおよび測定によるウェハレベルデータは、アルゴリズムへの全入力の一部または全てとして用いられ得る。これらの入力パラメータは、プロセスステップからのメトロロジー測定値またはウェハ製造プロセス中に収集されるメトロロジー測定値を含み得る。これらの測定値は、光学リフレクトメトリまたはエリプソメトリデータ、および所与の波長における各測定の強度を含み得る。測定データは、特定のプロセスステップ(たとえばCMPまたはエッチング、またはギャップフィルプロセス)後に行われるリフレクトメトリ測定から取り込まれ得る。メトロロジー測定値は、たとえばプローブによって行われるRs(導電性、抵抗率)測定および他の種類の接触測定、すなわちたとえばHRPまたは高分解能プロファイラなどの接触測定など、非光学測定によって生成されてもよい。
いくつかの実施形態において、入力データの一部または全ては、ウェハプローブ試験の出力、またはウェハプローブ試験によって測定された測定値から得られてよい。また、最終ウェハ電気試験、ウェハ仕分け試験、およびウェハ受入れ試験からのデータが入力データとして用いられ得る。最終ウェハ電気試験パラメータの例は、ダイオード特性、駆動電流特性、ゲート酸化物パラメータ、漏洩電流パラメータ、金属層特性、レジスタ特性、ビア特性などを含むが、これに限定されない。ウェハ仕分けパラメータの例は、クロックサーチ特性、ダイオード特性、走査論理電圧、静止IDD、IDDQ、VDD分、電源オープンショート特性、リング発振器周波数などを含むが、これに限定されない。
入力データは、最終試験から得られてよい。入力データは、たとえばバーンインなどのデバイス信頼性ストレスの前後に様々な電気および温度条件下で複数回生じる試験、またはバーンインステップにおいて生じる試験から得られてよい。入力データは、機能、構造、およびシステムレベル試験の混合である電気試験から得られてよい。
歩留り予測システムへの入力として役立ち得る試験出力は、2進形式(可/不可)またはアナログであってよく、有限または無限であり得る実数値であってもよい。アナログ出力は、圧力読取値または電流読取値であってよい。
ステップ1108において、入力データは、フィルタリング、正規化、および/またはクレンジングステップを経験する。ステップ1110において、アルゴリズムを処理するための入力パラメータの数を低減するために次元縮小または特徴選択が実行される。
ステップ1112において、データはその後、訓練のために1または複数のアルゴリズムに供給される。訓練入力データおよび訓練ターゲットを与えられると、アルゴリズムは、ステップ1114においてモデルを生成し、モデルはステップ1116において、リアルタイムデータに従うように展開され得る。
1つの実施形態において、製造後の製品の状態は、図13に示すように、最終試験の結果、歩留り予測システムの信頼メトリック、歩留り予測システムの分類を重み付けする関数の結果であってよい。状態予測が特定の閾値を上回る場合、その部品は優良、すなわち使用可能であると決定され得る。
実施形態において、アルゴリズムは、より少ない故障を含み得る最終試験の故障率を決定するために、より多くの故障を含む上流試験から算出された傾向を利用する。たとえば、上流試験プロセスの終了時に故障率が高くなるほど、より正確な予測をもたらすモデル(たとえばCHAID決定ツリー)を生成することが容易になる。この上流プロセスの故障率を決定し、信頼および傾向メトリックとともに可/不可予測を生成するためにモデルが組み立てられ得る。故障予測、信頼および傾向メトリックはその後、更に下流の試験の故障を予測するための入力として用いられ得る。これは特に、下流の試験が少ない数の不可しか有さず、正確なモデルを組み立てることが困難である場合に役立つ。
いくつかの実施形態において、分類モデルに関するデータ処理ステップは、オーバーサンプリングを含んでよい。たとえば、100の不可チップおよび10,000の可のチップが訓練データセット内にある場合、オーバーサンプリングは、10,000行の不可チップが存在することになるように、不可チップの行を100回複製することを意味する。この平衡化セットはその後モデルに供給される。あるいは、アンダーサンプリングは、100の可のチップを(ランダムに)選択し、他方の100の不可チップとともにモデル内に供給して、平衡化訓練セットを作成することを意味する。これは、決定ツリーの作成において重要なステップであり得る。
いくつかの実施形態において、訓練データセットに対する過剰バイアスまたは過剰適合モデルが生じないように、決定ツリーのリーフノードがどの程度まで小さくなり得るかに関する制限が設定される。
いくつかの実施形態において、モデルはデータの一部で訓練される。モデルはその後、訓練フェーズに無知であるデータの異なる部分で試験される。モデルの堅牢性を決定するために、K重交差確認も適用され得る。バッグドアルゴリズムにおいてブーストされる場合、訓練、試験、および確認データセットは分割されてよく、この場合、試験セットがモデルを最適化するために用いられるのに対し、確認セットは完全に無知である。
以下は、歩留り予測アルゴリズムの一例である。入力データは、上述したように、クレンジング、変換、および編成される。入力データは、上述した技術を用いて、各ダイに相関付けられ、または特定のダイにマップされ得る。入力データセットは、ダイごとに相関付けられた入力データとともに製造プロセスを通して製造されるダイのセットを含み得る。関連するダイの状態、すなわち可または不可が各ダイに付随し得る。通常、ほとんどのダイが可であるが、最終試験ステップ後、ダイのいくつかが不可と決定される。最終試験プロセスを通して、ダイは、様々な試験および信頼性ストレス(たとえばバーンイン)を経験し、いくつかのダイが増加的に不可にされ、除去される。モデルは、ダイの状態(可/不可)をターゲットとして用いる分類モデルの類である。プロセスの最終段階におけるダイ状態(可/不可)に基づいてモデルを訓練することに伴う問題は、通常この段階での故障の数が非常に少ないことである。たとえば、最終試験後の故障の数は、1,000,000のうちわずか100であり得る。多くの分類モデルは、モデルを訓練するために用いられるデータセットにこれほど少数の故障しかない場合、正確に故障を予測することができない。この問題を緩和するために、より多くの故障を有する先行の上流試験に基づいて中間モデルが訓練される。故障の数は可の数に比べて比較的少なく、たとえば1,000,000のうち10,000の故障であるので、たとえばオーバーサンプリングなどの平衡化技術もやはりデータセットに適用される。この中間モデルによって、残っている可のダイ全てについて傾向メトリックが生成され、これらは継続して後続処理を受ける。ダイが最終試験に到達すると、先行の中間モデルからの傾向スコアは、最終故障予測モデルを訓練するための追加の入力として用いられる。故障の数がモデル訓練セットにおける可の数と等しくなることを確実にするために、データセットは再び平衡化(たとえばオーバーサンプリング)される。上流モデルの傾向も入力として用いられる場合、モデルの全体精度が向上し得る。訓練、試験、確認、および交差確認技術は、最良モデルを決定するために適用される。上述した技術において様々なモデルが試行される。(どのメトリックがユーザにとって最も重要であるかに依存して)最も少ない数の偽ポジティブおよび/または偽ネガティブをもたらすモデルが選択されるモデルとなる。通常、ユーザは偽ネガティブ(すなわちダイが可であると予測されるが実際は不可であること)を最小化することに関心があり、これは、それらが厳重性の低い試験またはバーンインに誤って進められ、その結果、標準以下のダイが顧客に発送され、現場故障の危険性が増すことを意味するためである。
7.試験およびバーンイン最適化
歩留り予測システムは、バーンイン時間を計算および最適化するために用いられ得る。バーンイン時間計算は、図14に示すように、歩留り予測システムによって生成された歩留り予測または分類、歩留り予測システムによって計算された信頼または傾向メトリック、および/または実際の最終試験結果の関数であってよい。一例として、歩留り予測システムによって歩留りがポジティブであると予測され、歩留り予測システムによって計算された信頼メトリックが比較的高い値である場合、バーンイン時間は平均より低くなるように計算され、または完全に削除され得る。他の例において、歩留り予測システムによって製品が優良であると予測され、歩留り予測システムによって信頼メトリックが低く計算された場合、バーンイン時間は、平均より高くなるように計算され得る。他の例において、歩留り予測システムによって製品が不良であると予測された場合、バーンイン時間は最大値に設定され得る。
歩留り予測は、最終試験を最適化するためにも用いられ得る。たとえば、製品が高い信頼値を伴って優良であると予測された場合、特定の高価な試験はスキップされ得る。他の例において、歩留り予測が優良であるが信頼値が低い場合、歩留り予測が優良かつ信頼度が低い場合よりも更に徹底的な試験が実行され得る。また他の例において、製品が優良であると予測された場合、最も厳しい量の試験を行うことが決定され、または追加の試験および処理を行わず製品を廃棄することが決定され得る。
8.他の適用
本明細書で説明するように、予測分析は、様々なプロセスステップ、パラメトリック、および製品性能の間の関係を発見するために用いられてよく、関係はその後、製品性能を予測および改善するために活用され得る。機械学習および並行処理の利点を取り入れることによって、予測分析は、他の技術を用いて明らかにすることが困難であった入力データ間の複雑な相関性を見出すことができる。したがって、歩留りを予測しオーバーレイエラーおよびCD変動を補正することに加えて、上述したように、予測分析は、性能、品質、および歩留りを改善しコストを削減するために、半導体製造プロセスにおいて多様に用いられ得る。半導体製造におけるプロセスの一部または全てを最適化するためにアルゴリズムが用いられ得る。
図15は、本明細書で説明される技術に関するいくつかの追加の適用1302を示し、とりわけ、歩留り予測/改善、ランツーラン制御、ウェハツーウェハ制御、リアルタイムおよび現場制御、仮想メトロロジー、故障予測および分類、工場範囲の制御、および予測保全を含む。歩留りに関して、本明細書に開示される技術はとりわけ、ボックス1304に示すように、歩留りを予測し、または歩留りを低下させている根本原因を識別し、またはパラメータ欠陥をインラインプロセスデータに結び付け得る。仮想メトロロジーに関して、本明細書に開示される技術は、ボックス1306に示すように、メトロロジー機器データ、プロセス機器データ、および上流データを用いて特定のプロセスメトリックを予測し得る。故障予測および分類に関して、本明細書に開示される技術は、ボックス1308に示すように、プロセス機器データおよび現場メトロロジーを用いてプロセス機器における故障を分類または検出し得る。工場範囲の制御に関して、本明細書に開示される技術は、ボックス1310に示すように、プロセスデータに隠された関係性を発見し得る。予測保全に関して、本明細書に開示される技術は、ボックス1312に示すように、様々な種類の欠陥の根本原因を識別し、インラインプロセスデータを用いて未来の欠陥を予測し得る。
いくつかの実施形態において、仮想メトロロジーは、リアルタイムで実際の測定を行う必要なく、たとえばフィルム厚さおよび臨界寸法(CD)などのメトロロジーメトリックを予測するためにアルゴリズムを用い得る。これは、スループットに多大な影響を与え、また高価なTEMまたはSEM断面積測定の必要性も減少させ得る。生産設備からのセンサデータおよびアルゴリズムを訓練するためにサンプリングされたウェハの実際のメトロロジー値に基づいて、仮想メトロロジーは、全てのウェハに関するメトロロジー値を予測し得る。アルゴリズムは、入力データおよび測定されたターゲットのセットを用いてモデルが訓練され得る、教師あり学習アルゴリズムであってよい。ターゲットは、制御されるべき臨界寸法であってよい。入力データは、上流メトロロジー測定値、またはプロセス機器からの(たとえば温度および実行時間などの)データであってよい。
機械故障または障害を特定し、故障の根本原因を迅速に見つけ出すことは、半導体製造において重要であり得る。製造プロセスにおいて故障をより良く検出および解明することができれば、ダウンタイムおよび破棄物が減少し得る。これは、故障検出および分類(FDC)とも称される。故障が生じる前にそれらを予測することができれば、ダウンタイムは最適にスケジュールされ、廃棄物が更に減少し得る。したがって、製造プロセスまたはプロセスステップにおける特定のツールに故障または欠陥が生じる時を予測するために、アルゴリズムが用いられ得る。
本発明のいくつかの実施形態において、アルゴリズムは、いつ製造機器にメンテナンスを実行する必要があるかを決定するために用いられ得る。これは、半導体製造プロセスにおいて予測保全と称される。
9.結論
本発明の上記説明は、当業者に、現在最良の形態であると考えられるものの製造および使用を可能にするが、当業者は、本明細書における特定の実施形態、方法、および例の変形例、組み合わせ、および均等物の存在を理解および認識する。したがって本発明は、上述した実施形態、方法、および例によって限定されるものではない。

Claims (20)

  1. リソグラフィプロセスにおける半導体ウェハの今回生産ランにおけるメトロロジー測定値から収集されたリアルタイム入力の第1のセット、および少なくとも1つの上流プロセスにおけるメトロロジー測定値から収集されたリアルタイム入力の第2のセットを、データ処理装置に格納されたオーバーレイ測定モデルへの入力データとして複数のリアルタイム入力を受け取ることと、
    第1の複数のリアルタイム入力の、目標とされるオーバーレイ測定値に対する多変量関係を決定するために、前記オーバーレイ測定モデルを用いて前記入力データを分析することと、
    前記今回生産ランのために前記リソグラフィプロセスにおいて前記目標とされるオーバーレイ測定の予測を形成するために、前記第1の複数のリアルタイム入力の、前記目標とされるオーバーレイ測定値に対する多変量関係を評価することと、
    前記目標とされるオーバーレイ測定値の予測が実際の目標とされるオーバーレイ測定値と相関するように前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
    を備える、方法。
  2. 前記オーバーレイ測定モデルは、前記多変量関係を決定する際に用いるために、前記リソグラフィプロセス後に前回生産ランにおけるプロセスから追加の入力データを得ることと、
    前記リソグラフィプロセス後のプロセスからの追加のリアルタイム入力を、各生産ランのために前記モデルに供給することと、
    を更に備える、請求項1に記載の方法。
  3. リソグラフィプロセスの複数の生産ランにおいて複数のウェハからの複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと、前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
    入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含むことと、
    前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
    入力データのセットごとに予測オーバーレイ測定値を生成するために前記多変量関係を評価することと、
    前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
    を備える、方法。
  4. 前記入力データおよび前記対応するオーバーレイ測定値の分析に基づいてオーバーレイ測定に関するモデルを作成することと、
    ウェハ生産ランのために前記モデルを展開することであって、前記リソグラフィプロセスおよび前記上流プロセスからリアルタイム入力が得られ、前記モデルに供給されることと、
    前記モデルを用いて予測オーバーレイ測定値を生成することと、
    前記予測オーバーレイ測定値と実際のオーバーレイ測定値が相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
    を更に備える、請求項3に記載の方法。
  5. 前記リソグラフィプロセスおよび前記上流プロセスから得られた前記データは、メトロロジーおよびパラメトリックデータを含む、請求項3に記載の方法。
  6. 前記リソグラフィプロセスからのメトロロジーおよびパラメトリックデータは、特徴臨界寸法、ウェハ形状、ウェハジオメトリ、フィルム厚さ、フィルム抵抗率、デバイスチャネル長さ、デバイスチャネル幅、デバイスチャネル深さ、デバイス動作閾値、およびデバイス抵抗を含む、請求項5に記載の方法。
  7. 前記上流プロセスからのメトロロジーおよびパラメトリックデータは、各上流プロセスに関して、プロセス持続時間、プロセス温度、プロセス圧力、プロセス周波数、および光学測定値を含む、請求項5に記載の方法。
  8. 前記オーバーレイ測定値は、画像に基づくオーバーレイまたは回析に基づくオーバーレイを用いて得られる、請求項3に記載の方法。
  9. 前記分析するステップは、少なくとも1つの機械学習アルゴリズムによって実行される、請求項3に記載の方法。
  10. 前記分析するステップは、機械学習アルゴリズムの組み合わせによって実行される、請求項3に記載の方法。
  11. 前記分析するステップは、マルチステップアルゴリズムによって実行される、請求項3に記載の方法。
  12. 上流プロセスから得られた前記データに基づいて仮想メトロロジーモデルを作成することと、
    前記仮想メトロロジーモデルの出力を前記オーバーレイ測定モデルへの入力として供給することと、
    を更に備える、請求項4に記載の方法。
  13. 現場測定データを得ることと、
    前記現場測定データを前記オーバーレイ測定モデルへの入力として供給することと、
    を更に備える、請求項4に記載の方法。
  14. 前記入力データの1つ以上のセットの変換を実行することと、
    前記変換された入力データを前記オーバーレイ測定モデルへの入力として供給することと、
    を更に備える、請求項4に記載の方法。
  15. 前記リアルタイム入力の第2の統計分布が前記入力データの第1の統計分布から変化している場合、前記リアルタイム入力を正規化すること
    を更に備える、請求項4に記載の方法。
  16. 前記正規化するステップは、前記第1および第2の統計分布のzスコアを決定することによって実行される、請求項15に記載の方法。
  17. 1つ以上のプロセッサによって実行されると、前記1つ以上のプロセッサに、
    リソグラフィプロセスの複数の生産ランにおいて複数のウェハから複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと、前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
    入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含むことと、
    前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
    入力データのセットごとに予測オーバーレイ測定を生成するために前記多変量関係を評価することと、
    前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
    のステップを実行させる1つ以上の命令のシーケンスを格納した非一時的機械可読媒体。
  18. 前記1つ以上のプロセッサに、
    前記入力データおよび前記対応するオーバーレイ測定値の分析に基づいてオーバーレイ測定のためのモデルを作成することと、
    ウェハ生産ランのために前記モデルを展開することであって、リアルタイム入力が、前記リソグラフィプロセスおよび前記上流プロセスから得られかつ前記モデルに供給されることと、
    前記モデルを用いて予測オーバーレイ測定値を生成することと、
    前記予測オーバーレイ測定値が実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
    のステップを実行させる命令を更に格納した、請求項17に記載の非一時的機械可読媒体。
  19. 少なくとも1つのプロセッサと、
    前記プロセッサによって実行されると、前記プロセッサに、
    リソグラフィプロセスの複数の生産ランにおいて複数のウェハから複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
    入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含む、前記複数の生産ランのうち1つから収集されることと、
    前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
    入力データのセットごとに予測オーバーレイ測定値を生成するために前記多変量関係を評価することと、
    前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
    を行わせる、前記プロセッサによって実行可能な命令を格納する、前記プロセッサに結合されたメモリと、
    を備える、システム。
  20. 前記メモリが、前記プロセッサに、
    前記入力データおよび前記対応するオーバーレイ測定値に基づいてオーバーレイ測定のためのモデルを作成することと、
    ウェハ生産ランのために前記モデルを展開することであって、リアルタイム入力が、前記リソグラフィプロセスおよび前記上流プロセスから得られかつ前記モデルに供給されることと、
    前記モデルを用いて予測オーバーレイ測定値を生成することと、
    前記予測オーバーレイ測定値が実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
    を行わせる命令を更に格納する、請求項19に記載のシステム。
JP2017545882A 2014-11-25 2015-11-25 半導体製造プロセスのための改善されたプロセス制御技術 Active JP6751871B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201462084551P 2014-11-25 2014-11-25
US62/084,551 2014-11-25
US201462091567P 2014-12-14 2014-12-14
US62/091,567 2014-12-14
US201562103946P 2015-01-15 2015-01-15
US62/103,946 2015-01-15
PCT/US2015/062693 WO2016086138A1 (en) 2014-11-25 2015-11-25 Improved process control techniques for semiconductor manufacturing processes

Publications (2)

Publication Number Publication Date
JP2017536584A JP2017536584A (ja) 2017-12-07
JP6751871B2 true JP6751871B2 (ja) 2020-09-09

Family

ID=56010944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017545882A Active JP6751871B2 (ja) 2014-11-25 2015-11-25 半導体製造プロセスのための改善されたプロセス制御技術

Country Status (5)

Country Link
US (2) US20160148850A1 (ja)
JP (1) JP6751871B2 (ja)
KR (1) KR102521159B1 (ja)
CN (1) CN107004060B (ja)
WO (1) WO2016086138A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220121956A1 (en) * 2020-10-16 2022-04-21 Samsung Electronics Co., Ltd. Method of training deep learning model for predicting pattern characteristics and method of manufacturing semiconductor device
WO2022231837A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High resolution profile measurement based on a trained parameter conditioned measurement model
WO2024025842A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Generating indications of learning of models for semiconductor processing

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10540608B1 (en) * 2015-05-22 2020-01-21 Amazon Technologies, Inc. Dynamically scaled training fleets for machine learning
WO2017060080A1 (en) * 2015-10-08 2017-04-13 Asml Netherlands B.V. Methods & apparatus for controlling an industrial process
US11580375B2 (en) * 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10817800B2 (en) * 2016-01-20 2020-10-27 Robert Bosch Gmbh Value addition dependent data mining techniques for assembly lines
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US20170337482A1 (en) * 2016-05-20 2017-11-23 Suraj Sindia Predictive system for industrial internet of things
KR101744194B1 (ko) * 2016-08-19 2017-06-09 인하대학교 산학협력단 반도체 fab 제조공정에서 유클리드 거리를 활용한 웨이퍼 자동 불량 검사 분류 예측 장치 및 방법
JP6645934B2 (ja) * 2016-08-25 2020-02-14 ファナック株式会社 セルコントロールシステム
US10997135B2 (en) 2016-09-16 2021-05-04 Oracle International Corporation Method and system for performing context-aware prognoses for health analysis of monitored systems
US9972478B2 (en) * 2016-09-16 2018-05-15 Lam Research Corporation Method and process of implementing machine learning in complex multivariate wafer processing equipment
WO2018080714A1 (en) * 2016-10-24 2018-05-03 Siemens Aktiengesellschaft House of quality for federated manufacturing apps
DE102016013564B3 (de) * 2016-11-15 2017-09-07 Tdk-Micronas Gmbh Verfahren zur Kontrolle der Qualität von integrierten Bauelementen
KR101917006B1 (ko) * 2016-11-30 2018-11-08 에스케이 주식회사 머신 러닝 기반 반도체 제조 수율 예측 시스템 및 방법
TWI807987B (zh) * 2016-11-30 2023-07-01 美商應用材料股份有限公司 使用神經網路的光譜監測
DE102016225899A1 (de) * 2016-12-21 2018-06-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Modifizieren von Abbildungseigenschaften eines optischen Systems für die Mikrolithographie
EP3343294A1 (en) * 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
EP3352013A1 (en) * 2017-01-23 2018-07-25 ASML Netherlands B.V. Generating predicted data for control or monitoring of a production process
EP3364247A1 (en) 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
JP2020509431A (ja) * 2017-02-22 2020-03-26 エーエスエムエル ネザーランズ ビー.ブイ. コンピュータによる計測
KR102304331B1 (ko) 2017-02-24 2021-09-24 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의해 공정 모델들을 결정하는 방법들
JP2019160176A (ja) * 2018-03-16 2019-09-19 ファナック株式会社 部品供給量推定装置及び機械学習装置
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
WO2018202361A1 (en) 2017-05-05 2018-11-08 Asml Netherlands B.V. Method to predict yield of a device manufacturing process
US10303829B2 (en) * 2017-05-31 2019-05-28 International Business Machines Corporation Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance
EP3415988A1 (en) * 2017-06-14 2018-12-19 ASML Netherlands B.V. Device manufacturing methods
US10824137B2 (en) * 2017-06-19 2020-11-03 Panasonic Intellectual Property Management Co., Ltd. Mounting board manufacturing system
US11275361B2 (en) * 2017-06-30 2022-03-15 Kla-Tencor Corporation Systems and methods for predicting defects and critical dimension using deep learning in the semiconductor manufacturing process
US10499876B2 (en) * 2017-07-31 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Test key design to enable X-ray scatterometry measurement
KR102382820B1 (ko) * 2017-08-09 2022-04-04 삼성에스디에스 주식회사 공정 관리 방법 및 그 장치
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
TWI783037B (zh) * 2017-09-25 2022-11-11 美商應用材料股份有限公司 使用機器學習方式以產生製程控制參數的半導體製造
JP6884082B2 (ja) * 2017-10-11 2021-06-09 株式会社Screenホールディングス 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法
US11049744B2 (en) 2017-10-24 2021-06-29 International Business Machines Corporation Optimizing semiconductor binning by feed-forward process adjustment
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
JP7262921B2 (ja) * 2017-11-28 2023-04-24 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
JP7158846B2 (ja) * 2017-11-30 2022-10-24 キヤノン株式会社 半導体装置および機器
JP6942617B2 (ja) * 2017-11-30 2021-09-29 株式会社日立製作所 データ分析システムおよびデータ分析装置
EP3492985A1 (en) * 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
TWI689724B (zh) 2017-12-15 2020-04-01 財團法人工業技術研究院 晶片溫度計算方法及晶片溫度計算裝置
US11067964B2 (en) * 2018-01-17 2021-07-20 Kymeta Corporation Method to improve performance, manufacturing, and design of a satellite antenna
CN108268899B (zh) * 2018-01-22 2019-03-01 清华大学 一种电子元件的检测方法、装置和设备
US12045555B2 (en) * 2018-01-31 2024-07-23 Asml Netherlands B.V. Method to label substrates based on process parameters
EP3525509B1 (en) * 2018-02-07 2021-03-31 Rohde & Schwarz GmbH & Co. KG Method and test system for mobile network testing
JP7144462B2 (ja) * 2018-02-15 2022-09-29 千代田化工建設株式会社 プラント運転条件設定支援システム及び運転条件設定支援装置
US11029359B2 (en) * 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US10795346B2 (en) * 2018-03-13 2020-10-06 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
US20200043764A1 (en) * 2018-03-20 2020-02-06 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US11454949B2 (en) * 2018-03-28 2022-09-27 Kla Corporation Auto-correlation of wafer characterization data and generation of composite wafer metrics during semiconductor device fabrication
JP2019179319A (ja) * 2018-03-30 2019-10-17 富士通株式会社 予測モデル作成装置、予測モデル作成方法および予測モデル作成プログラム
US11084225B2 (en) 2018-04-02 2021-08-10 Nanotronics Imaging, Inc. Systems, methods, and media for artificial intelligence process control in additive manufacturing
JP7090243B2 (ja) 2018-05-08 2022-06-24 千代田化工建設株式会社 プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
US11402828B2 (en) * 2018-05-18 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method, system and non-transitory computer-readable medium for reducing work-in-progress
JP7184547B2 (ja) * 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
US10964566B2 (en) 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Go., Ltd. Machine learning on overlay virtual metrology
JP7305430B2 (ja) * 2018-06-29 2023-07-10 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
CN110660702B (zh) * 2018-06-29 2022-11-29 台湾积体电路制造股份有限公司 覆盖管理方法、覆盖管理系统及计算系统
DE102018211099B4 (de) * 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
US10657420B2 (en) 2018-07-17 2020-05-19 International Business Machines Corporation Modeling post-lithography stochastic critical dimension variation with multi-task neural networks
WO2020032963A1 (en) 2018-08-10 2020-02-13 Hewlett-Packard Development Company, L.P. Predicting thermal behavior in 3d printers
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
JP2020053550A (ja) * 2018-09-27 2020-04-02 株式会社荏原製作所 研磨装置、研磨方法、及び機械学習装置
KR20200039047A (ko) 2018-10-01 2020-04-16 에스케이씨 주식회사 필름 결함 검출 방법 및 시스템
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
CN112912232B (zh) 2018-10-29 2023-03-10 惠普发展公司,有限责任合伙企业 热映射
KR101965605B1 (ko) * 2018-11-02 2019-08-13 주식회사 아이브이웍스 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체
KR20230130767A (ko) 2018-11-07 2023-09-12 에이에스엠엘 네델란즈 비.브이. 공정에 대한 보정 결정
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
TWI829807B (zh) * 2018-11-30 2024-01-21 日商東京威力科創股份有限公司 製造製程之假想測定裝置、假想測定方法及假想測定程式
KR102649158B1 (ko) 2018-12-03 2024-03-20 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정의 수율을 예측하는 방법
US11036202B2 (en) * 2018-12-13 2021-06-15 Lam Research Corporation Real-time health monitoring of semiconductor manufacturing equipment
WO2020120050A1 (en) * 2018-12-14 2020-06-18 Asml Netherlands B.V. Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process
WO2020141049A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for metrology optimization
WO2020156769A1 (en) * 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
US10996572B2 (en) 2019-02-15 2021-05-04 Applied Materials, Inc. Model based dynamic positional correction for digital lithography tools
JP6790154B2 (ja) * 2019-03-07 2020-11-25 東芝デジタルソリューションズ株式会社 協調型学習システム及び監視システム
CN113574474A (zh) * 2019-03-15 2021-10-29 3M创新有限公司 使用因果模型抛光半导体晶圆
KR20210134823A (ko) * 2019-03-29 2021-11-10 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 모델 기반 스케줄링
WO2020207696A1 (en) * 2019-04-09 2020-10-15 Asml Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
JP2020181959A (ja) 2019-04-26 2020-11-05 東京エレクトロン株式会社 学習方法、管理装置および管理プログラム
US12057355B2 (en) 2019-04-28 2024-08-06 Nova Ltd Semiconductor device manufacture with in-line hotspot detection
CN110210718A (zh) * 2019-05-09 2019-09-06 厦门邑通软件科技有限公司 一种基于多维决策树群的提升产品合格率的方法
CN110187499B (zh) * 2019-05-29 2021-10-19 哈尔滨工业大学(深圳) 一种基于神经网络的片上集成光功率衰减器的设计方法
US20200387818A1 (en) * 2019-06-07 2020-12-10 Aspen Technology, Inc. Asset Optimization Using Integrated Modeling, Optimization, and Artificial Intelligence
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
WO2021004725A1 (en) * 2019-07-10 2021-01-14 Asml Netherlands B.V. Prediction data selection for model calibration to reduce model prediction uncertainty
US11377943B2 (en) 2019-07-12 2022-07-05 Halliburton Energy Services, Inc. Wellbore hydraulic fracturing through a common pumping source
US11306572B2 (en) 2019-07-12 2022-04-19 Halliburton Energy Services, Inc. Hydraulic fracturing modelling and control
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
CN114222949A (zh) * 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
EP4028228A4 (en) 2019-09-10 2023-09-27 Nanotronics Imaging, Inc. SYSTEMS, METHODS AND MEDIA FOR MANUFACTURING PROCESSES
WO2021061541A1 (en) * 2019-09-25 2021-04-01 Lam Research Corporation Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
US11100221B2 (en) 2019-10-08 2021-08-24 Nanotronics Imaging, Inc. Dynamic monitoring and securing of factory processes, equipment and automated systems
BR112022007091A2 (pt) * 2019-10-15 2022-07-05 Braskem Sa Método e sistema para a modelagem de produção de polipropileno e artigo de polipropileno usando algoritmos de inteligência artificial
CN110929844A (zh) * 2019-10-31 2020-03-27 南方科技大学 芯片成品率预测方法、装置、设备及存储介质
US20220414499A1 (en) * 2019-11-15 2022-12-29 Semiconductor Energy Laboratory Co., Ltd. Property prediction system for semiconductor element
CN111291928A (zh) * 2020-01-21 2020-06-16 深圳市华星光电半导体显示技术有限公司 显示面板制程参数的优化方法及系统
US11435391B2 (en) * 2020-01-22 2022-09-06 Nanya Technology Corporation Dual-sided wafer imaging apparatus and methods thereof
US12078060B2 (en) 2020-01-24 2024-09-03 Halliburton Energy Services, Inc. Fracturing control
US11415971B2 (en) * 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
US11328108B2 (en) 2020-03-03 2022-05-10 Pdf Solutions, Inc. Predicting die susceptible to early lifetime failure
US11797735B1 (en) * 2020-03-06 2023-10-24 Synopsys, Inc. Regression testing based on overall confidence estimating
WO2021197717A1 (en) * 2020-04-02 2021-10-07 Asml Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
EP3901700A1 (en) * 2020-04-20 2021-10-27 ASML Netherlands B.V. Method and apparatus for predicting a process metric associated with a process
CN115428135B (zh) * 2020-04-06 2024-01-26 诺威有限公司 用于基于光谱的计量和过程控制的机器和深度学习方法
EP3913435A1 (en) * 2020-05-19 2021-11-24 ASML Netherlands B.V. Configuration of an imputer model
US20230153582A1 (en) * 2020-04-20 2023-05-18 Asml Netherlands B.V. Configuration of an imputer model
JP7424909B2 (ja) 2020-05-18 2024-01-30 株式会社日立製作所 処理条件探索装置および処理条件探索方法
JP7453853B2 (ja) 2020-05-27 2024-03-21 株式会社日立製作所 処理条件決定システムおよび処理条件探索方法
US11066915B1 (en) 2020-06-09 2021-07-20 Bj Energy Solutions, Llc Methods for detection and mitigation of well screen out
US11028677B1 (en) 2020-06-22 2021-06-08 Bj Energy Solutions, Llc Stage profiles for operations of hydraulic systems and associated methods
US11933153B2 (en) 2020-06-22 2024-03-19 Bj Energy Solutions, Llc Systems and methods to operate hydraulic fracturing units using automatic flow rate and/or pressure control
US11939853B2 (en) 2020-06-22 2024-03-26 Bj Energy Solutions, Llc Systems and methods providing a configurable staged rate increase function to operate hydraulic fracturing units
US11466680B2 (en) 2020-06-23 2022-10-11 Bj Energy Solutions, Llc Systems and methods of utilization of a hydraulic fracturing unit profile to operate hydraulic fracturing units
US11473413B2 (en) 2020-06-23 2022-10-18 Bj Energy Solutions, Llc Systems and methods to autonomously operate hydraulic fracturing units
US11967058B2 (en) * 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US20230273529A1 (en) 2020-07-09 2023-08-31 Asml Netherlands B.V. Method for adjusting a patterning process
US11289387B2 (en) 2020-07-31 2022-03-29 Applied Materials, Inc. Methods and apparatus for backside via reveal processing
CN114065687A (zh) * 2020-08-07 2022-02-18 奥特斯奥地利科技与系统技术有限公司 基于人工智能确定用于制造部件承载件的行动规划
US20220122864A1 (en) * 2020-10-20 2022-04-21 Inference Tech, s.r.o. Smart skip testing method for semiconductor manufacturing
US20220307824A1 (en) * 2020-11-05 2022-09-29 Kla Corporation Systems and Methods for Measurement of Misregistration and Amelioration Thereof
TW202235825A (zh) * 2020-12-09 2022-09-16 英商美特拉斯有限公司 使用基於感測器之機器學習補償質量測量中之誤差的方法
CN112561873B (zh) * 2020-12-11 2022-11-25 上海集成电路装备材料产业创新中心有限公司 一种基于机器学习的cdsem图像虚拟测量方法
CN112541545B (zh) * 2020-12-11 2022-09-02 上海集成电路装备材料产业创新中心有限公司 基于机器学习预测刻蚀工艺后cdsem图像的方法
US11989495B2 (en) * 2020-12-31 2024-05-21 Applied Materials, Inc. Systems and methods for predicting film thickness using virtual metrology
US11656274B2 (en) * 2021-02-15 2023-05-23 Kla Corporation Systems and methods for evaluating the reliability of semiconductor die packages
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
US20220269184A1 (en) * 2021-02-25 2022-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning on overlay management
US20240054385A1 (en) * 2021-03-01 2024-02-15 Hitachi High-Tech Corporation Experiment point recommendation device, experiment point recommendation method, and semiconductor device manufacturing device
US11532525B2 (en) * 2021-03-03 2022-12-20 Applied Materials, Inc. Controlling concentration profiles for deposited films using machine learning
US11782808B2 (en) * 2021-03-25 2023-10-10 Kyndryl, Inc. Chaos experiment execution for site reliability engineering
CN113488414B (zh) * 2021-07-06 2023-10-13 长鑫存储技术有限公司 晶圆生产监控方法、系统与电子设备
US11669079B2 (en) * 2021-07-12 2023-06-06 Tokyo Electron Limited Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements
US20240345487A1 (en) * 2021-09-02 2024-10-17 Asml Netherlands B.V. Method of evaluating selected set of patterns
US20230125695A1 (en) * 2021-10-26 2023-04-27 Nanya Technology Corporation Manufacturing method for semiconductor structures
WO2023151973A1 (en) * 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
US20230297091A1 (en) * 2022-03-16 2023-09-21 Claritrics Inc d.b.a BUDDI AI System and method for predictive analytics for fitness of test plan
US12105504B2 (en) 2022-04-27 2024-10-01 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
WO2024072670A1 (en) * 2022-09-26 2024-04-04 Lam Research Corporation Automated control of process chamber components
US20240142883A1 (en) * 2022-10-31 2024-05-02 Kla Corporation Overlay Estimation Based on Optical Inspection and Machine Learning
CN116467835B (zh) * 2023-02-07 2024-01-26 山东申东发酵装备有限公司 一种啤酒发酵罐监控系统

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
US5793650A (en) 1995-10-19 1998-08-11 Analog Devices, Inc. System and method of identifying the number of chip failures on a wafer attributed to cluster failures
KR100200480B1 (ko) 1995-12-21 1999-10-01 윤종용 불량 분석 피드백에 의한 반도체 제조공정 제어방법
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US20020192966A1 (en) * 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
KR100431329B1 (ko) * 2001-10-11 2004-05-12 삼성전자주식회사 반도체 웨이퍼 오버레이 보정방법
US6610550B1 (en) 2002-04-03 2003-08-26 Advanced Micro Devices Method and apparatus for correlating error model with defect data
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7117057B1 (en) 2002-09-10 2006-10-03 Taiwan Semiconductor Manufacturing Co. Ltd. Yield patrolling system
US20040167655A1 (en) 2003-02-22 2004-08-26 Scott Middlebrooks Optimal model predictive control of overlay implemented in a ASIC fab
US7089528B2 (en) * 2003-03-11 2006-08-08 International Business Machines Corporation Methods and systems for estimating reticle bias states
US6968253B2 (en) 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
US7289214B1 (en) 2004-11-23 2007-10-30 N&K Technology, Inc. System and method for measuring overlay alignment using diffraction gratings
US7184853B2 (en) * 2005-05-18 2007-02-27 Infineon Technologies Richmond, Lp Lithography method and system with correction of overlay offset errors caused by wafer processing
DE102005024915B4 (de) 2005-05-31 2016-09-15 Advanced Micro Devices, Inc. Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten
US7842442B2 (en) 2006-08-31 2010-11-30 Advanced Micro Devices, Inc. Method and system for reducing overlay errors within exposure fields by APC control strategies
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
US7974728B2 (en) * 2007-05-04 2011-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. System for extraction of key process parameters from fault detection classification to enable wafer prediction
WO2008151083A1 (en) 2007-05-30 2008-12-11 Kla-Tencor Corporation Feedforward/feedback litho process control of stress and overlay
US7957826B2 (en) 2007-08-21 2011-06-07 International Business Machines Corporation Methods for normalizing error in photolithographic processes
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US8233494B2 (en) 2008-01-22 2012-07-31 International Business Machines Corporation Hierarchical and incremental multivariate analysis for process control
CN101738991B (zh) * 2008-11-26 2014-09-10 国立成功大学 检测产品品质超规与评估产品实际测量值的方法
WO2010145951A2 (en) * 2009-06-17 2010-12-23 Asml Netherlands B.V. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
EP2392970A3 (en) * 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2009294A (en) * 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
NL2009345A (en) * 2011-09-28 2013-04-02 Asml Netherlands Bv Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods.
US9400246B2 (en) * 2011-10-11 2016-07-26 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
US9031684B2 (en) * 2011-11-01 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-factor advanced process control method and system for integrated circuit fabrication
US9002498B2 (en) 2012-02-02 2015-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Tool function to improve fab process in semiconductor manufacturing
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US9588441B2 (en) 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
JP2014072313A (ja) * 2012-09-28 2014-04-21 Toshiba Corp アライメント計測システム、重ね合わせ計測システム及び半導体装置の製造方法
US9875946B2 (en) * 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
CN104216234B (zh) * 2013-06-05 2016-05-25 中芯国际集成电路制造(上海)有限公司 光刻系统光源对称性的检测方法
US9470743B2 (en) 2014-03-04 2016-10-18 Nvidia Corporation Dynamic yield prediction
US9087176B1 (en) 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220121956A1 (en) * 2020-10-16 2022-04-21 Samsung Electronics Co., Ltd. Method of training deep learning model for predicting pattern characteristics and method of manufacturing semiconductor device
WO2022231837A1 (en) * 2021-04-30 2022-11-03 Kla Corporation High resolution profile measurement based on a trained parameter conditioned measurement model
WO2024025842A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Generating indications of learning of models for semiconductor processing

Also Published As

Publication number Publication date
US20160148850A1 (en) 2016-05-26
KR102521159B1 (ko) 2023-04-13
US20180358271A1 (en) 2018-12-13
JP2017536584A (ja) 2017-12-07
CN107004060A (zh) 2017-08-01
WO2016086138A1 (en) 2016-06-02
KR20170086585A (ko) 2017-07-26
US10734293B2 (en) 2020-08-04
CN107004060B (zh) 2022-02-18

Similar Documents

Publication Publication Date Title
JP6751871B2 (ja) 半導体製造プロセスのための改善されたプロセス制御技術
US10430719B2 (en) Process control techniques for semiconductor manufacturing processes
US11714357B2 (en) Method to predict yield of a device manufacturing process
KR102353216B1 (ko) 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
KR102336390B1 (ko) 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화
CN109863456B (zh) 确定图案化过程的校正的方法
JP6785993B2 (ja) プロセスフィンガープリントのセットを維持する方法
KR102603071B1 (ko) 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법
TW201940988A (zh) 基於製程參數來標示基板之方法
TW201903534A (zh) 最佳化微影製程之方法及裝置
CN112088337B (zh) 用于基于过程参数标记衬底的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190903

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200218

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200630

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200717

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200722

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200717

R150 Certificate of patent or registration of utility model

Ref document number: 6751871

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250