JP6751871B2 - 半導体製造プロセスのための改善されたプロセス制御技術 - Google Patents
半導体製造プロセスのための改善されたプロセス制御技術 Download PDFInfo
- Publication number
- JP6751871B2 JP6751871B2 JP2017545882A JP2017545882A JP6751871B2 JP 6751871 B2 JP6751871 B2 JP 6751871B2 JP 2017545882 A JP2017545882 A JP 2017545882A JP 2017545882 A JP2017545882 A JP 2017545882A JP 6751871 B2 JP6751871 B2 JP 6751871B2
- Authority
- JP
- Japan
- Prior art keywords
- overlay
- data
- model
- input data
- measurements
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000004519 manufacturing process Methods 0.000 title claims description 115
- 239000004065 semiconductor Substances 0.000 title claims description 47
- 238000005516 engineering process Methods 0.000 title description 10
- 238000004886 process control Methods 0.000 title description 6
- 238000000034 method Methods 0.000 claims description 216
- 230000008569 process Effects 0.000 claims description 136
- 238000005259 measurement Methods 0.000 claims description 129
- 238000004422 calculation algorithm Methods 0.000 claims description 122
- 235000012431 wafers Nutrition 0.000 claims description 116
- 238000001459 lithography Methods 0.000 claims description 51
- 238000011144 upstream manufacturing Methods 0.000 claims description 36
- 238000010801 machine learning Methods 0.000 claims description 25
- 238000004458 analytical method Methods 0.000 claims description 23
- 230000003287 optical effect Effects 0.000 claims description 17
- 238000006243 chemical reaction Methods 0.000 claims description 6
- 238000010606 normalization Methods 0.000 claims description 5
- 238000009826 distribution Methods 0.000 claims description 4
- 238000012360 testing method Methods 0.000 description 84
- 238000012549 training Methods 0.000 description 41
- 239000010410 layer Substances 0.000 description 40
- 238000012545 processing Methods 0.000 description 35
- 238000005530 etching Methods 0.000 description 29
- 238000001228 spectrum Methods 0.000 description 21
- 238000013100 final test Methods 0.000 description 19
- 239000000758 substrate Substances 0.000 description 15
- 238000012790 confirmation Methods 0.000 description 14
- 230000002950 deficient Effects 0.000 description 13
- 239000013598 vector Substances 0.000 description 12
- 230000007547 defect Effects 0.000 description 11
- 238000004806 packaging method and process Methods 0.000 description 9
- 239000000523 sample Substances 0.000 description 9
- 230000003595 spectral effect Effects 0.000 description 9
- 230000002596 correlated effect Effects 0.000 description 8
- 238000003066 decision tree Methods 0.000 description 8
- 238000000151 deposition Methods 0.000 description 8
- 230000008021 deposition Effects 0.000 description 8
- 238000013459 approach Methods 0.000 description 7
- 238000007637 random forest analysis Methods 0.000 description 7
- 230000009467 reduction Effects 0.000 description 6
- 238000007635 classification algorithm Methods 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 238000001514 detection method Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 238000002310 reflectometry Methods 0.000 description 5
- 230000000875 corresponding effect Effects 0.000 description 4
- 238000000295 emission spectrum Methods 0.000 description 4
- 238000011156 evaluation Methods 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 238000012423 maintenance Methods 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 239000011159 matrix material Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000005424 photoluminescence Methods 0.000 description 4
- 238000000513 principal component analysis Methods 0.000 description 4
- 239000002699 waste material Substances 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 3
- 230000009286 beneficial effect Effects 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000013145 classification model Methods 0.000 description 3
- 238000002790 cross-validation Methods 0.000 description 3
- 238000007405 data analysis Methods 0.000 description 3
- 238000007418 data mining Methods 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 238000007689 inspection Methods 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 238000000491 multivariate analysis Methods 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 238000007781 pre-processing Methods 0.000 description 3
- 230000009466 transformation Effects 0.000 description 3
- 238000000844 transformation Methods 0.000 description 3
- 238000010200 validation analysis Methods 0.000 description 3
- 238000013528 artificial neural network Methods 0.000 description 2
- 238000012512 characterization method Methods 0.000 description 2
- 230000001186 cumulative effect Effects 0.000 description 2
- 238000013135 deep learning Methods 0.000 description 2
- 238000000572 ellipsometry Methods 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 238000011990 functional testing Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000003064 k means clustering Methods 0.000 description 2
- 238000012417 linear regression Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000001579 optical reflectometry Methods 0.000 description 2
- 238000005457 optimization Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- XGCDBGRZEKYHNV-UHFFFAOYSA-N 1,1-bis(diphenylphosphino)methane Chemical compound C=1C=CC=CC=1P(C=1C=CC=CC=1)CP(C=1C=CC=CC=1)C1=CC=CC=C1 XGCDBGRZEKYHNV-UHFFFAOYSA-N 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000000540 analysis of variance Methods 0.000 description 1
- 238000013473 artificial intelligence Methods 0.000 description 1
- 238000010923 batch production Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 238000013480 data collection Methods 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000011067 equilibration Methods 0.000 description 1
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 1
- 238000010304 firing Methods 0.000 description 1
- 230000007849 functional defect Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 238000002847 impedance measurement Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 239000003550 marker Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 238000012216 screening Methods 0.000 description 1
- 230000007847 structural defect Effects 0.000 description 1
- 230000008685 targeting Effects 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000001131 transforming effect Effects 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 238000004627 transmission electron microscopy Methods 0.000 description 1
- 238000007473 univariate analysis Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/705—Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/706835—Metrology information management or control
- G03F7/706837—Data analysis, e.g. filtering, weighting, flyer removal, fingerprints or root cause analysis
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N20/00—Machine learning
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N7/00—Computing arrangements based on specific mathematical models
- G06N7/01—Probabilistic graphical models, e.g. probabilistic networks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/14—Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Theoretical Computer Science (AREA)
- Software Systems (AREA)
- Data Mining & Analysis (AREA)
- Mathematical Physics (AREA)
- Evolutionary Computation (AREA)
- Artificial Intelligence (AREA)
- Computing Systems (AREA)
- General Engineering & Computer Science (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Medical Informatics (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Probability & Statistics with Applications (AREA)
- Algebra (AREA)
- Computational Mathematics (AREA)
- Mathematical Analysis (AREA)
- Mathematical Optimization (AREA)
- Pure & Applied Mathematics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
Description
本開示は、半導体製造プロセスの生産ランにおけるプロセス変動を測定および/または補償するための新たな技術を説明し、これらの技術を、プロセスの任意のステップで歩留りを予測するために用い、試験およびバーンイン手順を最適化するためのものである。たとえば、新たな種類の入力データを取り入れることによってデータ分析に新たなアプローチをもたらすために機械学習アルゴリズムが用いることができ、データはより効率的に相関付け、編成、および前処理され、その後プロセス調整を行うために用いることができる。ターゲットパラメータに関するモデルを作成するために前回生産ランからのデータが用いられてよく、ターゲットパラメータに関する予測を生成し予測と実際のデータとを相関付けるために、今回生産ランからのデータがモデルに入力されてよい。
図1は、典型的な半導体製造プロセス100の高レベル図であり、実際はこの中に何百ものステップが存在し得る。一般に、生産ランにわたりプロセスのステップおよびサブステップごとにデータが収集されてよく、ステップごとに歩留りが計算されるとともに、プロセス全体の全歩留りが予測され得る。
たとえば大規模での並行処理の実行など、コンピューティング技術およびデータ分析技術における昨今の進化は、機械学習アルゴリズム、データマイニング、および予測分析における進歩をもたらした。機械学習は、データから学習し得る、システムの構築および研究に関する人工知能の分岐である。これらの種類のアルゴリズムによって、並行処理機能に加えて、データを物理的にモデル化する必要なくより大量のデータセットが処理されることが可能である。これによって、リソグラフィ装置にオーバーレイエラーおよび臨界寸法(CD)変動に関する補正を行うためにデータ分析を取り入れる可能性が開かれる。たとえば、オーバーレイエラーを補正するために通常のパラメータ(たとえばCDメトロロジー、オンスキャナデータ、ウェハ形状およびジオメトリメトロロジー、DBO測定値)を使用することに加えて、機械学習アルゴリズムを訓練するために上流プロセスおよびメトロロジーからのプロセスパラメータおよび他のメトロロジーも使用され得る。
上述したように、リソグラフィプロセスは、サブ20nmノードの製造に関する課題を提示する。リソグラフィ装置は、基板、多くの場合基板のターゲット部分に、所望のパターンを施す機械である。個々の集積回路(IC)層の回路パターンは、一般にマスクまたはレチクルと称される、パターンをターゲットに転写するパターニングデバイスによって生成される。通常、パターンは、基板上に形成された放射感応性の材料(たとえばレジスト)の層に結像することによって転写される。1つの基板上に、連続的にパターン化された隣接するターゲット部分の回路網が存在する。
1または複数の訓練ウェハにオーバーレイプロセスが実行されてよく、その後、訓練ウェハは実際のオーバーレイエラーに関して分析される。オーバーレイエラーを測定する最も正確な方法は、CD−SEMまたはTEMである。たとえば厚さ、直径ウェハ形状変動、面内変位、ストレス誘発性局所曲率、ウェハ厚さおよび平坦度変動、表面および裏面ナノトポグラフィ(NT)、ウェハエッジロールオフ(ERO)、滑り線など全ての利用可能なウェハジオメトリパラメータ、たとえば並進(x、y、z)、回転(x、y、z)、フォーカス傾斜、線量エラー、フォーカス残差、倍率、非対称倍率、非対称回転などのスキャナパラメータ、たとえばフィルム厚さ、トレンチ深さ、金属ゲートリセス、高kリセス、側壁角、レジスト高さ、ハードマスク高さ、ピッチ歩行などのCD測定値、たとえば屈折率および吸収係数(nおよびk光学定数)などのフィルム特性パラメータ、たとえばDBOおよびIBOなどの(DBO測定値自体とともに回析シグネチャの強度値を含んでもよい)他のオーバーレイ測定値のパラメータが、ターゲットとして対応する実際のオーバーレイエラーとともに、訓練モデルへの入力として用いられる。実際のオーバーレイ測定のウェハ上での位置は、適用可能な場合、その場所に関する全ての入力パラメータの位置と照合される。たとえば温度、圧力、プロセス持続時間などいくつかのプロセスパラメータおよび他のツール関連パラメータはウェハごとに収集され、特に場所にはマップされなくてよい。むしろ、場所固有情報が適用可能または利用可能ではない場合、所与のウェハに関する全ての場所が、ウェハに関して収集された同一の値を含む。あるいは、オーバーレイエラー測定の空間分解能が所与の入力パラメータの空間分解能(たとえばウェハ上で9カ所のCD測定)を上回る場合、最も近い入力パラメータが、実際のオーバーレイエラー測定値にマップされる。これを行うために適した技術は、k平均クラスタリングである。他の技術は、入力パラメータの値を決定するための補完(3−D)または3次スプラインを含む。
半導体デバイスの製造業者にとって歩留りを予測することは概して重要であり、半導体デバイスの製造にかかるコストがますます増えつつあるため、いっそう重要である。歩留り予測は、プロセス中の様々なステップにおいて行われ得る。
歩留り予測システムは、バーンイン時間を計算および最適化するために用いられ得る。バーンイン時間計算は、図14に示すように、歩留り予測システムによって生成された歩留り予測または分類、歩留り予測システムによって計算された信頼または傾向メトリック、および/または実際の最終試験結果の関数であってよい。一例として、歩留り予測システムによって歩留りがポジティブであると予測され、歩留り予測システムによって計算された信頼メトリックが比較的高い値である場合、バーンイン時間は平均より低くなるように計算され、または完全に削除され得る。他の例において、歩留り予測システムによって製品が優良であると予測され、歩留り予測システムによって信頼メトリックが低く計算された場合、バーンイン時間は、平均より高くなるように計算され得る。他の例において、歩留り予測システムによって製品が不良であると予測された場合、バーンイン時間は最大値に設定され得る。
本明細書で説明するように、予測分析は、様々なプロセスステップ、パラメトリック、および製品性能の間の関係を発見するために用いられてよく、関係はその後、製品性能を予測および改善するために活用され得る。機械学習および並行処理の利点を取り入れることによって、予測分析は、他の技術を用いて明らかにすることが困難であった入力データ間の複雑な相関性を見出すことができる。したがって、歩留りを予測しオーバーレイエラーおよびCD変動を補正することに加えて、上述したように、予測分析は、性能、品質、および歩留りを改善しコストを削減するために、半導体製造プロセスにおいて多様に用いられ得る。半導体製造におけるプロセスの一部または全てを最適化するためにアルゴリズムが用いられ得る。
本発明の上記説明は、当業者に、現在最良の形態であると考えられるものの製造および使用を可能にするが、当業者は、本明細書における特定の実施形態、方法、および例の変形例、組み合わせ、および均等物の存在を理解および認識する。したがって本発明は、上述した実施形態、方法、および例によって限定されるものではない。
Claims (20)
- リソグラフィプロセスにおける半導体ウェハの今回生産ランにおけるメトロロジー測定値から収集されたリアルタイム入力の第1のセット、および少なくとも1つの上流プロセスにおけるメトロロジー測定値から収集されたリアルタイム入力の第2のセットを、データ処理装置に格納されたオーバーレイ測定モデルへの入力データとして複数のリアルタイム入力を受け取ることと、
第1の複数のリアルタイム入力の、目標とされるオーバーレイ測定値に対する多変量関係を決定するために、前記オーバーレイ測定モデルを用いて前記入力データを分析することと、
前記今回生産ランのために前記リソグラフィプロセスにおいて前記目標とされるオーバーレイ測定値の予測を形成するために、前記第1の複数のリアルタイム入力の、前記目標とされるオーバーレイ測定値に対する多変量関係を評価することと、
前記目標とされるオーバーレイ測定値の予測が実際の目標とされるオーバーレイ測定値と相関するように前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
を備える、方法。 - 前記オーバーレイ測定モデルは、前記多変量関係を決定する際に用いるために、前記リソグラフィプロセス後に前回生産ランにおけるプロセスから追加の入力データを得ることと、
前記リソグラフィプロセス後のプロセスからの追加のリアルタイム入力を、各生産ランのために前記モデルに供給することと、
を更に備える、請求項1に記載の方法。 - リソグラフィプロセスの複数の生産ランにおいて複数のウェハからの複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと、前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含むことと、
前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
入力データのセットごとに予測オーバーレイ測定値を生成するために前記多変量関係を評価することと、
前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
を備える、方法。 - 前記入力データおよび前記対応するオーバーレイ測定値の分析に基づいてオーバーレイ測定に関するモデルを作成することと、
ウェハ生産ランのために前記モデルを展開することであって、前記リソグラフィプロセスおよび前記上流プロセスからリアルタイム入力が得られ、前記モデルに供給されることと、
前記モデルを用いて予測オーバーレイ測定値を生成することと、
前記予測オーバーレイ測定値と実際のオーバーレイ測定値が相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
を更に備える、請求項3に記載の方法。 - 前記リソグラフィプロセスおよび前記上流プロセスから得られた前記データは、メトロロジーおよびパラメトリックデータを含む、請求項3に記載の方法。
- 前記リソグラフィプロセスからのメトロロジーおよびパラメトリックデータは、特徴臨界寸法、ウェハ形状、ウェハジオメトリ、フィルム厚さ、フィルム抵抗率、デバイスチャネル長さ、デバイスチャネル幅、デバイスチャネル深さ、デバイス動作閾値、およびデバイス抵抗を含む、請求項5に記載の方法。
- 前記上流プロセスからのメトロロジーおよびパラメトリックデータは、各上流プロセスに関して、プロセス持続時間、プロセス温度、プロセス圧力、プロセス周波数、および光学測定値を含む、請求項5に記載の方法。
- 前記オーバーレイ測定値は、画像に基づくオーバーレイまたは回析に基づくオーバーレイを用いて得られる、請求項3に記載の方法。
- 前記分析するステップは、少なくとも1つの機械学習アルゴリズムによって実行される、請求項3に記載の方法。
- 前記分析するステップは、機械学習アルゴリズムの組み合わせによって実行される、請求項3に記載の方法。
- 前記分析するステップは、マルチステップアルゴリズムによって実行される、請求項3に記載の方法。
- 上流プロセスから得られた前記データに基づいて仮想メトロロジーモデルを作成することと、
前記仮想メトロロジーモデルの出力を前記オーバーレイ測定モデルへの入力として供給することと、
を更に備える、請求項4に記載の方法。 - 現場測定データを得ることと、
前記現場測定データを前記オーバーレイ測定モデルへの入力として供給することと、
を更に備える、請求項4に記載の方法。 - 前記入力データの1つ以上のセットの変換を実行することと、
前記変換された入力データを前記オーバーレイ測定モデルへの入力として供給することと、
を更に備える、請求項4に記載の方法。 - 前記リアルタイム入力の第2の統計分布が前記入力データの第1の統計分布から変化している場合、前記リアルタイム入力を正規化すること
を更に備える、請求項4に記載の方法。 - 前記正規化するステップは、前記第1および第2の統計分布のzスコアを決定することによって実行される、請求項15に記載の方法。
- 1つ以上のプロセッサによって実行されると、前記1つ以上のプロセッサに、
リソグラフィプロセスの複数の生産ランにおいて複数のウェハから複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと、前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含むことと、
前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
入力データのセットごとに予測オーバーレイ測定を生成するために前記多変量関係を評価することと、
前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
のステップを実行させる1つ以上の命令のシーケンスを格納した非一時的機械可読媒体。 - 前記1つ以上のプロセッサに、
前記入力データおよび前記対応するオーバーレイ測定値の分析に基づいてオーバーレイ測定のためのモデルを作成することと、
ウェハ生産ランのために前記モデルを展開することであって、リアルタイム入力が、前記リソグラフィプロセスおよび前記上流プロセスから得られかつ前記モデルに供給されることと、
前記モデルを用いて予測オーバーレイ測定値を生成することと、
前記予測オーバーレイ測定値が実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
のステップを実行させる命令を更に格納した、請求項17に記載の非一時的機械可読媒体。 - 少なくとも1つのプロセッサと、
前記プロセッサによって実行されると、前記プロセッサに、
リソグラフィプロセスの複数の生産ランにおいて複数のウェハから複数の実際のオーバーレイ測定値を得ることであって、各実際のオーバーレイ測定値は、第1層に形成された特徴の第1のセットと前記第1層の上の第2層に形成された特徴の第2のセットとのオフセットを示すことと、
入力データの複数のセットを収集することであって、入力データの各セットは、前記リソグラフィプロセスから得られるデータおよび少なくとも1つの上流プロセスから得られるデータを含む、前記複数の生産ランのうち1つから収集されることと、
前記入力データの、前記実際のオーバーレイ測定値に対する多変量関係を決定するために、各生産ランから収集された入力データのセットを分析することと、
入力データのセットごとに予測オーバーレイ測定値を生成するために前記多変量関係を評価することと、
前記予測オーバーレイ測定値が入力データのセットごとに前記実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記少なくとも1つの上流プロセスを調整することと、
を行わせる、前記プロセッサによって実行可能な命令を格納する、前記プロセッサに結合されたメモリと、
を備える、システム。 - 前記メモリが、前記プロセッサに、
前記入力データおよび前記対応するオーバーレイ測定値に基づいてオーバーレイ測定のためのモデルを作成することと、
ウェハ生産ランのために前記モデルを展開することであって、リアルタイム入力が、前記リソグラフィプロセスおよび前記上流プロセスから得られかつ前記モデルに供給されることと、
前記モデルを用いて予測オーバーレイ測定値を生成することと、
前記予測オーバーレイ測定値が実際のオーバーレイ測定値と相関するように、前記リソグラフィプロセスまたは前記上流プロセスを調整することと、
を行わせる命令を更に格納する、請求項19に記載のシステム。
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462084551P | 2014-11-25 | 2014-11-25 | |
US62/084,551 | 2014-11-25 | ||
US201462091567P | 2014-12-14 | 2014-12-14 | |
US62/091,567 | 2014-12-14 | ||
US201562103946P | 2015-01-15 | 2015-01-15 | |
US62/103,946 | 2015-01-15 | ||
PCT/US2015/062693 WO2016086138A1 (en) | 2014-11-25 | 2015-11-25 | Improved process control techniques for semiconductor manufacturing processes |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2017536584A JP2017536584A (ja) | 2017-12-07 |
JP6751871B2 true JP6751871B2 (ja) | 2020-09-09 |
Family
ID=56010944
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017545882A Active JP6751871B2 (ja) | 2014-11-25 | 2015-11-25 | 半導体製造プロセスのための改善されたプロセス制御技術 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20160148850A1 (ja) |
JP (1) | JP6751871B2 (ja) |
KR (1) | KR102521159B1 (ja) |
CN (1) | CN107004060B (ja) |
WO (1) | WO2016086138A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220121956A1 (en) * | 2020-10-16 | 2022-04-21 | Samsung Electronics Co., Ltd. | Method of training deep learning model for predicting pattern characteristics and method of manufacturing semiconductor device |
WO2022231837A1 (en) * | 2021-04-30 | 2022-11-03 | Kla Corporation | High resolution profile measurement based on a trained parameter conditioned measurement model |
WO2024025842A1 (en) * | 2022-07-26 | 2024-02-01 | Applied Materials, Inc. | Generating indications of learning of models for semiconductor processing |
Families Citing this family (143)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10540608B1 (en) * | 2015-05-22 | 2020-01-21 | Amazon Technologies, Inc. | Dynamically scaled training fleets for machine learning |
WO2017060080A1 (en) * | 2015-10-08 | 2017-04-13 | Asml Netherlands B.V. | Methods & apparatus for controlling an industrial process |
US11580375B2 (en) * | 2015-12-31 | 2023-02-14 | Kla-Tencor Corp. | Accelerated training of a machine learning based model for semiconductor applications |
US10817800B2 (en) * | 2016-01-20 | 2020-10-27 | Robert Bosch Gmbh | Value addition dependent data mining techniques for assembly lines |
US20170314129A1 (en) | 2016-04-29 | 2017-11-02 | Lam Research Corporation | Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system |
US20170337482A1 (en) * | 2016-05-20 | 2017-11-23 | Suraj Sindia | Predictive system for industrial internet of things |
KR101744194B1 (ko) * | 2016-08-19 | 2017-06-09 | 인하대학교 산학협력단 | 반도체 fab 제조공정에서 유클리드 거리를 활용한 웨이퍼 자동 불량 검사 분류 예측 장치 및 방법 |
JP6645934B2 (ja) * | 2016-08-25 | 2020-02-14 | ファナック株式会社 | セルコントロールシステム |
US10997135B2 (en) | 2016-09-16 | 2021-05-04 | Oracle International Corporation | Method and system for performing context-aware prognoses for health analysis of monitored systems |
US9972478B2 (en) * | 2016-09-16 | 2018-05-15 | Lam Research Corporation | Method and process of implementing machine learning in complex multivariate wafer processing equipment |
WO2018080714A1 (en) * | 2016-10-24 | 2018-05-03 | Siemens Aktiengesellschaft | House of quality for federated manufacturing apps |
DE102016013564B3 (de) * | 2016-11-15 | 2017-09-07 | Tdk-Micronas Gmbh | Verfahren zur Kontrolle der Qualität von integrierten Bauelementen |
KR101917006B1 (ko) * | 2016-11-30 | 2018-11-08 | 에스케이 주식회사 | 머신 러닝 기반 반도체 제조 수율 예측 시스템 및 방법 |
TWI807987B (zh) * | 2016-11-30 | 2023-07-01 | 美商應用材料股份有限公司 | 使用神經網路的光譜監測 |
DE102016225899A1 (de) * | 2016-12-21 | 2018-06-21 | Carl Zeiss Smt Gmbh | Verfahren und Vorrichtung zum Modifizieren von Abbildungseigenschaften eines optischen Systems für die Mikrolithographie |
EP3343294A1 (en) * | 2016-12-30 | 2018-07-04 | ASML Netherlands B.V. | Lithographic process & apparatus and inspection process and apparatus |
EP3352013A1 (en) * | 2017-01-23 | 2018-07-25 | ASML Netherlands B.V. | Generating predicted data for control or monitoring of a production process |
EP3364247A1 (en) | 2017-02-17 | 2018-08-22 | ASML Netherlands B.V. | Methods & apparatus for monitoring a lithographic manufacturing process |
JP2020509431A (ja) * | 2017-02-22 | 2020-03-26 | エーエスエムエル ネザーランズ ビー.ブイ. | コンピュータによる計測 |
KR102304331B1 (ko) | 2017-02-24 | 2021-09-24 | 에이에스엠엘 네델란즈 비.브이. | 기계 학습에 의해 공정 모델들을 결정하는 방법들 |
JP2019160176A (ja) * | 2018-03-16 | 2019-09-19 | ファナック株式会社 | 部品供給量推定装置及び機械学習装置 |
EP3396458A1 (en) * | 2017-04-28 | 2018-10-31 | ASML Netherlands B.V. | Method and apparatus for optimization of lithographic process |
WO2018202361A1 (en) | 2017-05-05 | 2018-11-08 | Asml Netherlands B.V. | Method to predict yield of a device manufacturing process |
US10303829B2 (en) * | 2017-05-31 | 2019-05-28 | International Business Machines Corporation | Automated method for integrated analysis of back end of the line yield, line resistance/capacitance and process performance |
EP3415988A1 (en) * | 2017-06-14 | 2018-12-19 | ASML Netherlands B.V. | Device manufacturing methods |
US10824137B2 (en) * | 2017-06-19 | 2020-11-03 | Panasonic Intellectual Property Management Co., Ltd. | Mounting board manufacturing system |
US11275361B2 (en) * | 2017-06-30 | 2022-03-15 | Kla-Tencor Corporation | Systems and methods for predicting defects and critical dimension using deep learning in the semiconductor manufacturing process |
US10499876B2 (en) * | 2017-07-31 | 2019-12-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Test key design to enable X-ray scatterometry measurement |
KR102382820B1 (ko) * | 2017-08-09 | 2022-04-04 | 삼성에스디에스 주식회사 | 공정 관리 방법 및 그 장치 |
WO2019035854A1 (en) * | 2017-08-16 | 2019-02-21 | Kla-Tencor Corporation | MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS |
TWI783037B (zh) * | 2017-09-25 | 2022-11-11 | 美商應用材料股份有限公司 | 使用機器學習方式以產生製程控制參數的半導體製造 |
JP6884082B2 (ja) * | 2017-10-11 | 2021-06-09 | 株式会社Screenホールディングス | 膜厚測定装置、基板検査装置、膜厚測定方法および基板検査方法 |
US11049744B2 (en) | 2017-10-24 | 2021-06-29 | International Business Machines Corporation | Optimizing semiconductor binning by feed-forward process adjustment |
KR20190048491A (ko) * | 2017-10-31 | 2019-05-09 | 삼성전자주식회사 | 식각 효과 예측 방법 및 입력 파라미터 결정 방법 |
JP7262921B2 (ja) * | 2017-11-28 | 2023-04-24 | キヤノン株式会社 | 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法 |
JP7158846B2 (ja) * | 2017-11-30 | 2022-10-24 | キヤノン株式会社 | 半導体装置および機器 |
JP6942617B2 (ja) * | 2017-11-30 | 2021-09-29 | 株式会社日立製作所 | データ分析システムおよびデータ分析装置 |
EP3492985A1 (en) * | 2017-12-04 | 2019-06-05 | ASML Netherlands B.V. | Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets |
TWI689724B (zh) | 2017-12-15 | 2020-04-01 | 財團法人工業技術研究院 | 晶片溫度計算方法及晶片溫度計算裝置 |
US11067964B2 (en) * | 2018-01-17 | 2021-07-20 | Kymeta Corporation | Method to improve performance, manufacturing, and design of a satellite antenna |
CN108268899B (zh) * | 2018-01-22 | 2019-03-01 | 清华大学 | 一种电子元件的检测方法、装置和设备 |
US12045555B2 (en) * | 2018-01-31 | 2024-07-23 | Asml Netherlands B.V. | Method to label substrates based on process parameters |
EP3525509B1 (en) * | 2018-02-07 | 2021-03-31 | Rohde & Schwarz GmbH & Co. KG | Method and test system for mobile network testing |
JP7144462B2 (ja) * | 2018-02-15 | 2022-09-29 | 千代田化工建設株式会社 | プラント運転条件設定支援システム及び運転条件設定支援装置 |
US11029359B2 (en) * | 2018-03-09 | 2021-06-08 | Pdf Solutions, Inc. | Failure detection and classsification using sensor data and/or measurement data |
US10795346B2 (en) * | 2018-03-13 | 2020-10-06 | Applied Materials, Inc. | Machine learning systems for monitoring of semiconductor processing |
JP7137943B2 (ja) * | 2018-03-20 | 2022-09-15 | 株式会社日立ハイテク | 探索装置、探索方法及びプラズマ処理装置 |
US20200043764A1 (en) * | 2018-03-20 | 2020-02-06 | Tokyo Electron Limited | Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same |
US11454949B2 (en) * | 2018-03-28 | 2022-09-27 | Kla Corporation | Auto-correlation of wafer characterization data and generation of composite wafer metrics during semiconductor device fabrication |
JP2019179319A (ja) * | 2018-03-30 | 2019-10-17 | 富士通株式会社 | 予測モデル作成装置、予測モデル作成方法および予測モデル作成プログラム |
US11084225B2 (en) | 2018-04-02 | 2021-08-10 | Nanotronics Imaging, Inc. | Systems, methods, and media for artificial intelligence process control in additive manufacturing |
JP7090243B2 (ja) | 2018-05-08 | 2022-06-24 | 千代田化工建設株式会社 | プラント運転条件設定支援システム、学習装置、及び運転条件設定支援装置 |
DE102018207882A1 (de) | 2018-05-18 | 2019-11-21 | Carl Zeiss Smt Gmbh | Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells |
US11402828B2 (en) * | 2018-05-18 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method, system and non-transitory computer-readable medium for reducing work-in-progress |
JP7184547B2 (ja) * | 2018-06-27 | 2022-12-06 | 株式会社Screenホールディングス | 補正方法、基板処理装置、及び基板処理システム |
US10964566B2 (en) | 2018-06-29 | 2021-03-30 | Taiwan Semiconductor Manufacturing Go., Ltd. | Machine learning on overlay virtual metrology |
JP7305430B2 (ja) * | 2018-06-29 | 2023-07-10 | キヤノン株式会社 | 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法 |
CN110660702B (zh) * | 2018-06-29 | 2022-11-29 | 台湾积体电路制造股份有限公司 | 覆盖管理方法、覆盖管理系统及计算系统 |
DE102018211099B4 (de) * | 2018-07-05 | 2020-06-18 | Carl Zeiss Smt Gmbh | Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses |
US10657420B2 (en) | 2018-07-17 | 2020-05-19 | International Business Machines Corporation | Modeling post-lithography stochastic critical dimension variation with multi-task neural networks |
WO2020032963A1 (en) | 2018-08-10 | 2020-02-13 | Hewlett-Packard Development Company, L.P. | Predicting thermal behavior in 3d printers |
JP6830464B2 (ja) * | 2018-09-26 | 2021-02-17 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法および記録媒体。 |
JP2020053550A (ja) * | 2018-09-27 | 2020-04-02 | 株式会社荏原製作所 | 研磨装置、研磨方法、及び機械学習装置 |
KR20200039047A (ko) | 2018-10-01 | 2020-04-16 | 에스케이씨 주식회사 | 필름 결함 검출 방법 및 시스템 |
US10705514B2 (en) * | 2018-10-09 | 2020-07-07 | Applied Materials, Inc. | Adaptive chamber matching in advanced semiconductor process control |
CN112912232B (zh) | 2018-10-29 | 2023-03-10 | 惠普发展公司,有限责任合伙企业 | 热映射 |
KR101965605B1 (ko) * | 2018-11-02 | 2019-08-13 | 주식회사 아이브이웍스 | 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체 |
KR20230130767A (ko) | 2018-11-07 | 2023-09-12 | 에이에스엠엘 네델란즈 비.브이. | 공정에 대한 보정 결정 |
EP3654103A1 (en) * | 2018-11-14 | 2020-05-20 | ASML Netherlands B.V. | Method for obtaining training data for training a model of a semicondcutor manufacturing process |
TWI829807B (zh) * | 2018-11-30 | 2024-01-21 | 日商東京威力科創股份有限公司 | 製造製程之假想測定裝置、假想測定方法及假想測定程式 |
KR102649158B1 (ko) | 2018-12-03 | 2024-03-20 | 에이에스엠엘 네델란즈 비.브이. | 반도체 제조 공정의 수율을 예측하는 방법 |
US11036202B2 (en) * | 2018-12-13 | 2021-06-15 | Lam Research Corporation | Real-time health monitoring of semiconductor manufacturing equipment |
WO2020120050A1 (en) * | 2018-12-14 | 2020-06-18 | Asml Netherlands B.V. | Apparatus and method for grouping image patterns to determine wafer behavior in a patterning process |
WO2020141049A1 (en) | 2018-12-31 | 2020-07-09 | Asml Netherlands B.V. | Method for metrology optimization |
WO2020156769A1 (en) * | 2019-01-29 | 2020-08-06 | Asml Netherlands B.V. | Method for decision making in a semiconductor manufacturing process |
US10996572B2 (en) | 2019-02-15 | 2021-05-04 | Applied Materials, Inc. | Model based dynamic positional correction for digital lithography tools |
JP6790154B2 (ja) * | 2019-03-07 | 2020-11-25 | 東芝デジタルソリューションズ株式会社 | 協調型学習システム及び監視システム |
CN113574474A (zh) * | 2019-03-15 | 2021-10-29 | 3M创新有限公司 | 使用因果模型抛光半导体晶圆 |
KR20210134823A (ko) * | 2019-03-29 | 2021-11-10 | 램 리써치 코포레이션 | 기판 프로세싱 시스템들을 위한 모델 기반 스케줄링 |
WO2020207696A1 (en) * | 2019-04-09 | 2020-10-15 | Asml Netherlands B.V. | Systems and methods for adjusting prediction models between facility locations |
JP2020181959A (ja) | 2019-04-26 | 2020-11-05 | 東京エレクトロン株式会社 | 学習方法、管理装置および管理プログラム |
US12057355B2 (en) | 2019-04-28 | 2024-08-06 | Nova Ltd | Semiconductor device manufacture with in-line hotspot detection |
CN110210718A (zh) * | 2019-05-09 | 2019-09-06 | 厦门邑通软件科技有限公司 | 一种基于多维决策树群的提升产品合格率的方法 |
CN110187499B (zh) * | 2019-05-29 | 2021-10-19 | 哈尔滨工业大学(深圳) | 一种基于神经网络的片上集成光功率衰减器的设计方法 |
US20200387818A1 (en) * | 2019-06-07 | 2020-12-10 | Aspen Technology, Inc. | Asset Optimization Using Integrated Modeling, Optimization, and Artificial Intelligence |
US11156991B2 (en) | 2019-06-24 | 2021-10-26 | Nanotronics Imaging, Inc. | Predictive process control for a manufacturing process |
WO2021004725A1 (en) * | 2019-07-10 | 2021-01-14 | Asml Netherlands B.V. | Prediction data selection for model calibration to reduce model prediction uncertainty |
US11377943B2 (en) | 2019-07-12 | 2022-07-05 | Halliburton Energy Services, Inc. | Wellbore hydraulic fracturing through a common pumping source |
US11306572B2 (en) | 2019-07-12 | 2022-04-19 | Halliburton Energy Services, Inc. | Hydraulic fracturing modelling and control |
US11340060B2 (en) * | 2019-07-23 | 2022-05-24 | Kla Corporation | Automatic recipe optimization for overlay metrology system |
CN114222949A (zh) * | 2019-08-13 | 2022-03-22 | Asml荷兰有限公司 | 用于计算特征的建模方法 |
EP4028228A4 (en) | 2019-09-10 | 2023-09-27 | Nanotronics Imaging, Inc. | SYSTEMS, METHODS AND MEDIA FOR MANUFACTURING PROCESSES |
WO2021061541A1 (en) * | 2019-09-25 | 2021-04-01 | Lam Research Corporation | Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry |
US11100221B2 (en) | 2019-10-08 | 2021-08-24 | Nanotronics Imaging, Inc. | Dynamic monitoring and securing of factory processes, equipment and automated systems |
BR112022007091A2 (pt) * | 2019-10-15 | 2022-07-05 | Braskem Sa | Método e sistema para a modelagem de produção de polipropileno e artigo de polipropileno usando algoritmos de inteligência artificial |
CN110929844A (zh) * | 2019-10-31 | 2020-03-27 | 南方科技大学 | 芯片成品率预测方法、装置、设备及存储介质 |
US20220414499A1 (en) * | 2019-11-15 | 2022-12-29 | Semiconductor Energy Laboratory Co., Ltd. | Property prediction system for semiconductor element |
CN111291928A (zh) * | 2020-01-21 | 2020-06-16 | 深圳市华星光电半导体显示技术有限公司 | 显示面板制程参数的优化方法及系统 |
US11435391B2 (en) * | 2020-01-22 | 2022-09-06 | Nanya Technology Corporation | Dual-sided wafer imaging apparatus and methods thereof |
US12078060B2 (en) | 2020-01-24 | 2024-09-03 | Halliburton Energy Services, Inc. | Fracturing control |
US11415971B2 (en) * | 2020-02-10 | 2022-08-16 | Globalwafers Co., Ltd. | Systems and methods for enhanced wafer manufacturing |
US11086988B1 (en) | 2020-02-28 | 2021-08-10 | Nanotronics Imaging, Inc. | Method, systems and apparatus for intelligently emulating factory control systems and simulating response data |
US11328108B2 (en) | 2020-03-03 | 2022-05-10 | Pdf Solutions, Inc. | Predicting die susceptible to early lifetime failure |
US11797735B1 (en) * | 2020-03-06 | 2023-10-24 | Synopsys, Inc. | Regression testing based on overall confidence estimating |
WO2021197717A1 (en) * | 2020-04-02 | 2021-10-07 | Asml Netherlands B.V. | Method and apparatus for predicting a process metric associated with a process |
EP3901700A1 (en) * | 2020-04-20 | 2021-10-27 | ASML Netherlands B.V. | Method and apparatus for predicting a process metric associated with a process |
CN115428135B (zh) * | 2020-04-06 | 2024-01-26 | 诺威有限公司 | 用于基于光谱的计量和过程控制的机器和深度学习方法 |
EP3913435A1 (en) * | 2020-05-19 | 2021-11-24 | ASML Netherlands B.V. | Configuration of an imputer model |
US20230153582A1 (en) * | 2020-04-20 | 2023-05-18 | Asml Netherlands B.V. | Configuration of an imputer model |
JP7424909B2 (ja) | 2020-05-18 | 2024-01-30 | 株式会社日立製作所 | 処理条件探索装置および処理条件探索方法 |
JP7453853B2 (ja) | 2020-05-27 | 2024-03-21 | 株式会社日立製作所 | 処理条件決定システムおよび処理条件探索方法 |
US11066915B1 (en) | 2020-06-09 | 2021-07-20 | Bj Energy Solutions, Llc | Methods for detection and mitigation of well screen out |
US11028677B1 (en) | 2020-06-22 | 2021-06-08 | Bj Energy Solutions, Llc | Stage profiles for operations of hydraulic systems and associated methods |
US11933153B2 (en) | 2020-06-22 | 2024-03-19 | Bj Energy Solutions, Llc | Systems and methods to operate hydraulic fracturing units using automatic flow rate and/or pressure control |
US11939853B2 (en) | 2020-06-22 | 2024-03-26 | Bj Energy Solutions, Llc | Systems and methods providing a configurable staged rate increase function to operate hydraulic fracturing units |
US11466680B2 (en) | 2020-06-23 | 2022-10-11 | Bj Energy Solutions, Llc | Systems and methods of utilization of a hydraulic fracturing unit profile to operate hydraulic fracturing units |
US11473413B2 (en) | 2020-06-23 | 2022-10-18 | Bj Energy Solutions, Llc | Systems and methods to autonomously operate hydraulic fracturing units |
US11967058B2 (en) * | 2020-06-24 | 2024-04-23 | Kla Corporation | Semiconductor overlay measurements using machine learning |
US20230273529A1 (en) | 2020-07-09 | 2023-08-31 | Asml Netherlands B.V. | Method for adjusting a patterning process |
US11289387B2 (en) | 2020-07-31 | 2022-03-29 | Applied Materials, Inc. | Methods and apparatus for backside via reveal processing |
CN114065687A (zh) * | 2020-08-07 | 2022-02-18 | 奥特斯奥地利科技与系统技术有限公司 | 基于人工智能确定用于制造部件承载件的行动规划 |
US20220122864A1 (en) * | 2020-10-20 | 2022-04-21 | Inference Tech, s.r.o. | Smart skip testing method for semiconductor manufacturing |
US20220307824A1 (en) * | 2020-11-05 | 2022-09-29 | Kla Corporation | Systems and Methods for Measurement of Misregistration and Amelioration Thereof |
TW202235825A (zh) * | 2020-12-09 | 2022-09-16 | 英商美特拉斯有限公司 | 使用基於感測器之機器學習補償質量測量中之誤差的方法 |
CN112561873B (zh) * | 2020-12-11 | 2022-11-25 | 上海集成电路装备材料产业创新中心有限公司 | 一种基于机器学习的cdsem图像虚拟测量方法 |
CN112541545B (zh) * | 2020-12-11 | 2022-09-02 | 上海集成电路装备材料产业创新中心有限公司 | 基于机器学习预测刻蚀工艺后cdsem图像的方法 |
US11989495B2 (en) * | 2020-12-31 | 2024-05-21 | Applied Materials, Inc. | Systems and methods for predicting film thickness using virtual metrology |
US11656274B2 (en) * | 2021-02-15 | 2023-05-23 | Kla Corporation | Systems and methods for evaluating the reliability of semiconductor die packages |
US11853042B2 (en) * | 2021-02-17 | 2023-12-26 | Applied Materials, Inc. | Part, sensor, and metrology data integration |
US20220269184A1 (en) * | 2021-02-25 | 2022-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Machine learning on overlay management |
US20240054385A1 (en) * | 2021-03-01 | 2024-02-15 | Hitachi High-Tech Corporation | Experiment point recommendation device, experiment point recommendation method, and semiconductor device manufacturing device |
US11532525B2 (en) * | 2021-03-03 | 2022-12-20 | Applied Materials, Inc. | Controlling concentration profiles for deposited films using machine learning |
US11782808B2 (en) * | 2021-03-25 | 2023-10-10 | Kyndryl, Inc. | Chaos experiment execution for site reliability engineering |
CN113488414B (zh) * | 2021-07-06 | 2023-10-13 | 长鑫存储技术有限公司 | 晶圆生产监控方法、系统与电子设备 |
US11669079B2 (en) * | 2021-07-12 | 2023-06-06 | Tokyo Electron Limited | Tool health monitoring and classifications with virtual metrology and incoming wafer monitoring enhancements |
US20240345487A1 (en) * | 2021-09-02 | 2024-10-17 | Asml Netherlands B.V. | Method of evaluating selected set of patterns |
US20230125695A1 (en) * | 2021-10-26 | 2023-04-27 | Nanya Technology Corporation | Manufacturing method for semiconductor structures |
WO2023151973A1 (en) * | 2022-02-10 | 2023-08-17 | Asml Netherlands B.V. | Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning |
US20230297091A1 (en) * | 2022-03-16 | 2023-09-21 | Claritrics Inc d.b.a BUDDI AI | System and method for predictive analytics for fitness of test plan |
US12105504B2 (en) | 2022-04-27 | 2024-10-01 | Applied Materials, Inc. | Run-to-run control at a manufacturing system using machine learning |
WO2024072670A1 (en) * | 2022-09-26 | 2024-04-04 | Lam Research Corporation | Automated control of process chamber components |
US20240142883A1 (en) * | 2022-10-31 | 2024-05-02 | Kla Corporation | Overlay Estimation Based on Optical Inspection and Machine Learning |
CN116467835B (zh) * | 2023-02-07 | 2024-01-26 | 山东申东发酵装备有限公司 | 一种啤酒发酵罐监控系统 |
Family Cites Families (42)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3751647A (en) | 1971-09-22 | 1973-08-07 | Ibm | Semiconductor and integrated circuit device yield modeling |
US5793650A (en) | 1995-10-19 | 1998-08-11 | Analog Devices, Inc. | System and method of identifying the number of chip failures on a wafer attributed to cluster failures |
KR100200480B1 (ko) | 1995-12-21 | 1999-10-01 | 윤종용 | 불량 분석 피드백에 의한 반도체 제조공정 제어방법 |
US7317531B2 (en) * | 2002-12-05 | 2008-01-08 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
WO2002065545A2 (en) | 2001-02-12 | 2002-08-22 | Sensys Instruments Corporation | Overlay alignment metrology using diffraction gratings |
US20020192966A1 (en) * | 2001-06-19 | 2002-12-19 | Shanmugasundram Arulkumar P. | In situ sensor based control of semiconductor processing procedure |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
KR100431329B1 (ko) * | 2001-10-11 | 2004-05-12 | 삼성전자주식회사 | 반도체 웨이퍼 오버레이 보정방법 |
US6610550B1 (en) | 2002-04-03 | 2003-08-26 | Advanced Micro Devices | Method and apparatus for correlating error model with defect data |
US7363099B2 (en) * | 2002-06-07 | 2008-04-22 | Cadence Design Systems, Inc. | Integrated circuit metrology |
US7117057B1 (en) | 2002-09-10 | 2006-10-03 | Taiwan Semiconductor Manufacturing Co. Ltd. | Yield patrolling system |
US20040167655A1 (en) | 2003-02-22 | 2004-08-26 | Scott Middlebrooks | Optimal model predictive control of overlay implemented in a ASIC fab |
US7089528B2 (en) * | 2003-03-11 | 2006-08-08 | International Business Machines Corporation | Methods and systems for estimating reticle bias states |
US6968253B2 (en) | 2003-05-07 | 2005-11-22 | Kla-Tencor Technologies Corp. | Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process |
US7289214B1 (en) | 2004-11-23 | 2007-10-30 | N&K Technology, Inc. | System and method for measuring overlay alignment using diffraction gratings |
US7184853B2 (en) * | 2005-05-18 | 2007-02-27 | Infineon Technologies Richmond, Lp | Lithography method and system with correction of overlay offset errors caused by wafer processing |
DE102005024915B4 (de) | 2005-05-31 | 2016-09-15 | Advanced Micro Devices, Inc. | Verfahren und System für eine fortschrittliche Prozesssteuerung mit anlagenabhängigen Maschinenkonstanten |
US7842442B2 (en) | 2006-08-31 | 2010-11-30 | Advanced Micro Devices, Inc. | Method and system for reducing overlay errors within exposure fields by APC control strategies |
US20080262769A1 (en) | 2007-04-23 | 2008-10-23 | Daniel Kadosh | Using multivariate health metrics to determine market segment and testing requirements |
US7974728B2 (en) * | 2007-05-04 | 2011-07-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | System for extraction of key process parameters from fault detection classification to enable wafer prediction |
WO2008151083A1 (en) | 2007-05-30 | 2008-12-11 | Kla-Tencor Corporation | Feedforward/feedback litho process control of stress and overlay |
US7957826B2 (en) | 2007-08-21 | 2011-06-07 | International Business Machines Corporation | Methods for normalizing error in photolithographic processes |
US7873585B2 (en) * | 2007-08-31 | 2011-01-18 | Kla-Tencor Technologies Corporation | Apparatus and methods for predicting a semiconductor parameter across an area of a wafer |
NL1036245A1 (nl) | 2007-12-17 | 2009-06-18 | Asml Netherlands Bv | Diffraction based overlay metrology tool and method of diffraction based overlay metrology. |
US8233494B2 (en) | 2008-01-22 | 2012-07-31 | International Business Machines Corporation | Hierarchical and incremental multivariate analysis for process control |
CN101738991B (zh) * | 2008-11-26 | 2014-09-10 | 国立成功大学 | 检测产品品质超规与评估产品实际测量值的方法 |
WO2010145951A2 (en) * | 2009-06-17 | 2010-12-23 | Asml Netherlands B.V. | Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell |
EP2392970A3 (en) * | 2010-02-19 | 2017-08-23 | ASML Netherlands BV | Method and apparatus for controlling a lithographic apparatus |
NL2009294A (en) * | 2011-08-30 | 2013-03-04 | Asml Netherlands Bv | Method and apparatus for determining an overlay error. |
US10295993B2 (en) | 2011-09-01 | 2019-05-21 | Kla-Tencor Corporation | Method and system for detecting and correcting problematic advanced process control parameters |
US8468471B2 (en) * | 2011-09-23 | 2013-06-18 | Kla-Tencor Corp. | Process aware metrology |
NL2009345A (en) * | 2011-09-28 | 2013-04-02 | Asml Netherlands Bv | Method of applying a pattern to a substrate, device manufacturing method and lithographic apparatus for use in such methods. |
US9400246B2 (en) * | 2011-10-11 | 2016-07-26 | Kla-Tencor Corporation | Optical metrology tool equipped with modulated illumination sources |
US9031684B2 (en) * | 2011-11-01 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-factor advanced process control method and system for integrated circuit fabrication |
US9002498B2 (en) | 2012-02-02 | 2015-04-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tool function to improve fab process in semiconductor manufacturing |
US10107621B2 (en) * | 2012-02-15 | 2018-10-23 | Nanometrics Incorporated | Image based overlay measurement with finite gratings |
US9588441B2 (en) | 2012-05-18 | 2017-03-07 | Kla-Tencor Corporation | Method and device for using substrate geometry to determine optimum substrate analysis sampling |
JP2014072313A (ja) * | 2012-09-28 | 2014-04-21 | Toshiba Corp | アライメント計測システム、重ね合わせ計測システム及び半導体装置の製造方法 |
US9875946B2 (en) * | 2013-04-19 | 2018-01-23 | Kla-Tencor Corporation | On-device metrology |
CN104216234B (zh) * | 2013-06-05 | 2016-05-25 | 中芯国际集成电路制造(上海)有限公司 | 光刻系统光源对称性的检测方法 |
US9470743B2 (en) | 2014-03-04 | 2016-10-18 | Nvidia Corporation | Dynamic yield prediction |
US9087176B1 (en) | 2014-03-06 | 2015-07-21 | Kla-Tencor Corporation | Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control |
-
2015
- 2015-11-25 KR KR1020177016538A patent/KR102521159B1/ko active IP Right Grant
- 2015-11-25 US US14/952,266 patent/US20160148850A1/en not_active Abandoned
- 2015-11-25 WO PCT/US2015/062693 patent/WO2016086138A1/en active Application Filing
- 2015-11-25 CN CN201580067927.XA patent/CN107004060B/zh active Active
- 2015-11-25 US US15/604,240 patent/US10734293B2/en active Active
- 2015-11-25 JP JP2017545882A patent/JP6751871B2/ja active Active
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220121956A1 (en) * | 2020-10-16 | 2022-04-21 | Samsung Electronics Co., Ltd. | Method of training deep learning model for predicting pattern characteristics and method of manufacturing semiconductor device |
WO2022231837A1 (en) * | 2021-04-30 | 2022-11-03 | Kla Corporation | High resolution profile measurement based on a trained parameter conditioned measurement model |
WO2024025842A1 (en) * | 2022-07-26 | 2024-02-01 | Applied Materials, Inc. | Generating indications of learning of models for semiconductor processing |
Also Published As
Publication number | Publication date |
---|---|
US20160148850A1 (en) | 2016-05-26 |
KR102521159B1 (ko) | 2023-04-13 |
US20180358271A1 (en) | 2018-12-13 |
JP2017536584A (ja) | 2017-12-07 |
CN107004060A (zh) | 2017-08-01 |
WO2016086138A1 (en) | 2016-06-02 |
KR20170086585A (ko) | 2017-07-26 |
US10734293B2 (en) | 2020-08-04 |
CN107004060B (zh) | 2022-02-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6751871B2 (ja) | 半導体製造プロセスのための改善されたプロセス制御技術 | |
US10430719B2 (en) | Process control techniques for semiconductor manufacturing processes | |
US11714357B2 (en) | Method to predict yield of a device manufacturing process | |
KR102353216B1 (ko) | 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법 | |
KR102336390B1 (ko) | 제품 유닛의 다중-스테이지 처리를 위한 장치 최적화 | |
CN109863456B (zh) | 确定图案化过程的校正的方法 | |
JP6785993B2 (ja) | プロセスフィンガープリントのセットを維持する方法 | |
KR102603071B1 (ko) | 반도체 제조 프로세스에서 수율에 영향을 주는 근본 원인을 결정하기 위한 방법 | |
TW201940988A (zh) | 基於製程參數來標示基板之方法 | |
TW201903534A (zh) | 最佳化微影製程之方法及裝置 | |
CN112088337B (zh) | 用于基于过程参数标记衬底的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20181115 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20190903 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20191024 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20200116 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200218 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200602 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200612 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20200630 |
|
A711 | Notification of change in applicant |
Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20200717 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20200722 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20200717 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6751871 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |