JP5651178B2 - 集積回路スイッチ、設計構造体及びその製造方法 - Google Patents

集積回路スイッチ、設計構造体及びその製造方法 Download PDF

Info

Publication number
JP5651178B2
JP5651178B2 JP2012526823A JP2012526823A JP5651178B2 JP 5651178 B2 JP5651178 B2 JP 5651178B2 JP 2012526823 A JP2012526823 A JP 2012526823A JP 2012526823 A JP2012526823 A JP 2012526823A JP 5651178 B2 JP5651178 B2 JP 5651178B2
Authority
JP
Japan
Prior art keywords
mems
tab
sacrificial material
design
mems structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012526823A
Other languages
English (en)
Other versions
JP2013503446A (ja
Inventor
アンダーソン、フェリックス、ピー
マクデビッド、トーマス、エル
スタンパー、アンソニー、ケー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013503446A publication Critical patent/JP2013503446A/ja
Application granted granted Critical
Publication of JP5651178B2 publication Critical patent/JP5651178B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0035Constitution or structural means for controlling the movement of the flexible or deformable elements
    • B81B3/004Angular deflection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/0015Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00277Processes for packaging MEMS devices for maintaining a controlled atmosphere inside of the cavity containing the MEMS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00333Aspects relating to packaging of MEMS devices, not covered by groups B81C1/00269 - B81C1/00325
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H1/00Contacts
    • H01H1/0036Switches making use of microelectromechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/014Switches characterised by the shape having a cantilever fixed on one side connected to one or more dimples
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0145Hermetically sealing an opening in the lid

Description

本発明は、集積回路スイッチ及び製造方法に関し、より具体的には、集積MEMSスイッチ、設計構造体、及びそうしたスイッチの製造方法に関する。
3D集積回路又は他の集積回路に用いられる集積回路スイッチは、ソリッドステート構造体(例えば、トランジスタ)又は受動ワイヤ(MEMS)から形成することができる。MEMSスイッチは、典型的には、これらが電力増幅器(PA)のモード切り換えのために用いられる無線通信用途のための重要な要件であるほぼ理想的なアイソレーション(isolation)、及び、10GHz以上の周波数における低い挿入損失(即ち、抵抗)のために用いられている。MEMSスイッチは、様々な用途、主としてアナログ信号及び混合信号の用途に用いることができる。1つのこのような例は、ブロードキャスト・モード毎に調整された電力増幅器(PA)及び回路を含む携帯電話チップである。チップ上の集積スイッチは、PAを適切な回路に接続するので、モード毎に1つのPAは必要でなくなる。
特定の用途及び技術基準に応じて、MEMS構造体は、多くの異なる形で提供することができる。例えば、MEMSは、カンチレバー構造体の形で実現することができる。カンチレバー構造体においては、電圧の印加により、カンチレバー・アーム(吊り下げ電極(suspended electrode))が、固定電極に向けて引っ張られる。静電気力によって吊り下げ電極を固定電極まで引っ張るのに必要な電圧は、プルイン電圧(pull-in voltage)と呼ばれ、このプルイン電圧は、吊り下げ電極の長さ、吊り下げ電極と固定電極との間の間隔又はギャップ、並びに、吊り下げ電極のばね定数を含む幾つかのパラメータによって決まり、材料とそれらの厚さの関数である。
MEMSは、多くの異なるツールを用いて多数の方法で製造することができる。しかしながら、一般に、そうした方法及びツールは、約5ミクロンの厚さ、100ミクロンの幅、及び200ミクロンの長さのスイッチ寸法をもつ、マイクロメートル・スケールの寸法を有する小型構造体を形成するのに用いられる。また、MEMSの製造に用いられる方法、即ち技術の多くは、集積回路(IC)技術から採り入れられたものである。例えば、殆ど全てのMEMSは、ウェハ上に構築され、ウェハの上にフォトグラフィ・プロセスによってパターン形成された材料の薄膜内に実現される。具体的には、MEMSの製造には、3つの基本的な構成要素、即ち(i)基板上への材料の薄膜の堆積、(ii)フォトリソグラフィック・イメージングによる膜の上へのパターン形成されたマスクの適用、及び(iii)マスクに対する膜の選択的にエッチング、が用いられる。
MEMSカンチレバー型スイッチにおいて、固定電極及び吊り下げ電極は、典型的には、一連の通常のフォトリソグラフィ、エッチング及び堆積プロセスを用いて製造される。一例において、吊り下げ電極が形成された後、犠牲材料、例えば、Microchem,Inc.社製のスピンオン・ポリマーPMGIの層を、MEMS構造体の下に堆積させてキャビティを形成し、MEMS構造体の上に堆積させてキャビティを形成する。MEMSの上のキャビティは、キャップ、例えば、SiNドームの形成を支援して、MEMS構造体を密封するのに用いられる。SiNドーム内から犠牲材料を除去するために、MEMS構造体の真上のドームの頂部に、通気孔がプリントされる。しかしながら、これは、幾つかの欠点をもたらす。
例えば、ドームの頂部に通気孔をプリントすることは、ドームの湾曲部上のフォトレジストのばらつきのために、難しいプロセスであり、例えば、1つより多くの焦点面内にプリントすることを必要とする。ひとたび通気孔が形成され、ドーム内の材料、例えば、PGMIが除去される(例えば、好ましくは、除去速度を増すために125℃以上で下流酸素プラズマを用いて、又は、スプレー・ツール又はタンク・ツール内で行われる浸漬NMP溶媒を用いて)と、ドームの密封が必要となる。密封プロセスは、例えば、ドームの上及び通気孔内に別のキャップ(SiN又は二酸化シリコン)を堆積することを含む。しかしながら、この密封プロセス中、SiN又は二酸化シリコン材料が、吊り下げ電極の上、場合によっては固定電極の上にも堆積する。これは、通気孔の位置のため、即ち、MEMS構造体の真上にあるためである。吊り下げ電極上に堆積されたSiN(又は他の材料)は、吊り下げ電極の寸法のばらつきを生じさせ、これが望ましくない応力及び引っ張り電圧のばらつきをもたらす。後者は、例えば、吊り下げ電極のばね定数の変化に起因する。一方、固定電極上に堆積されたSiN(又は他の)材料により、高い接触抵抗がもたらされ、通気孔を通じて起こるSiN材料の堆積の望ましくない影響ももたらされる。MEMS構造体上への通気孔を通じた密封誘電体の堆積は、キャビティ通気孔がスピンオン誘電体又はポリマーで密封される場合にも起こり得る。
さらに、多くの現在の用途においては、処理中に閉じた状態でフリーズすること、及び、スイッチに用いられる相対的に小さいコンタクト・ギャップ又は作動ギャップが原因で、水平型カンチレバー又はブリッジ・ビーム型スイッチがくっ付くこと、例えばスイッチが開かなくなることが知られている。これは、スティックション(sticktion)として知られている。これは、例えば、キャップの下から犠牲材料を剥離するのに用いられるウェット・エッチング・プロセスに起因し得る。
一般に、ウェット剥離プロセスは、こうしたプロセスの横方向エッチング速度が高いので(ドライ・プロセスよりも高い)、使用しやすい。しかしながら、ウェット剥離プロセスを用いる場合、剥離プロセス中の表面張力によってMEMS要素が互いにくっ付き、MEMSが故障することがある。一方、ドライ剥離プロセスを用いる場合、犠牲材料の横方向エッチングが必要になる。ドライ・エッチング・プロセスにおいては、犠牲材料を完全に除去するために、多くの場合数十ミクロンにわたる横方向エッチングが必要になる。これは難題であり、ウェハ毎に約0.1乃至10時間もかかる可能性があり、費用がかかる。ドライ剥離シリコン法においては、XeF又は他の横方向シリコン・エッチング・プロセスが用いる場合には、シリコンが犠牲材料として使用される。XeFエッチングには、2つの欠点がある。例えば、核反応副生成物であるXeFは、非常に高価であり、例えば、5μmのシリコンを1μm幅のスリットを通って除去するのに、ウェハ当たり1時間より長くかかることがあり、かつ、ウェハ当たり$100を上回るコストがかかり得る。さらに、BEOL配線に適合する温度(即ち、<400℃)でのシリコンの堆積は、PECVD又はPVDを用いてしか行うことができない。しかしながら、PECVDチャンバ壁からシリコンを洗浄するのは困難であるため、シリコンのPECVDは、実行するのが難しいプロセスである。同様に、シリコンのPVDは利用可能であるが、比較的遅いPVD堆積速度(<100nm/分)のために高価である。横方向アスペクト比が>>1である場合(殆どの用途に対するように)、ドライ剥離ポリマー法を用いることは、非常に困難である。横方向アスペクト比が>>1である場合(殆どの用途に対するように)、ドライ剥離SiOベースの誘電体法を用いることは、非常に難しい。
従って、当技術分野において、上述の欠陥及び制限を克服する必要性が存在する。
本発明の一態様において、スイッチを製造する方法が、少なくとも1つの犠牲材料のタブを、犠牲材料内に埋込まれたスイッチング・デバイスの側部に形成することを含む。本方法はさらに、スイッチング・デバイスの側部にある少なくとも1つのタブ上に形成された少なくとも1つの開口部を通って犠牲材料を剥離することを含む。本方法はさらに、少なくとも1つの開口部をキャッピング材料で密封することを含む。
本発明の一態様において、MEMSスイッチを製造する方法が、犠牲材料内に一時的に埋め込まれたMEMS構造体を形成することと、MEMS構造体の上にキャッピング層を形成することと、MEMS構造体の側部のキャッピング層内に通気孔を開口することと、ドライ又はウェット・エッチング剤を用いて、犠牲材料を剥離してMEMS構造体の周りにボイドを形成することと、通気孔をキャッピング材料で密封することとを含む。
本発明の一態様において、構造体を製造する方法は、ドーム構造体内に形成された能動素子の下及び上部分を埋込んだ犠牲材料を剥離するためのウェット・エッチング・プロセスを実施するステップを含む。本方法はさらに、能動素子の下部金属層の上に堆積した薄い犠牲層の層を剥離するためのドライ・エッチング・プロセスを実施するステップを含む。
本発明の一態様において、MEMS構造体が、下部強制電極及び下部強制電極から離れた下部コンタクト電極と、下部強制電極及び下部コンタクト電極の上に配置されたカンチレバー梁と、下部強制電極、下部コンタクト電極及びカンチレバー梁を密封するキャッピング層とを含む。
本発明の別の態様において、集積回路を設計し、製造し又は試験するための、機械可読媒体内に具体化された設計構造体が提供される。この設計構造体は、本発明の構造体及び/又は方法を含む。
本発明は、本発明の例示的な実施形態の非限定的な例として示される複数の図面を参照して、以下の詳細な説明において説明される。
本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による中間構造体及びそれぞれの処理ステップを示す。 本発明の態様による最終MEMS構造体及びそれぞれの処理ステップを示す。 本発明の別の態様による代替的な最終MEMS構造体及びそれぞれの処理ステップを示す。 本発明の別の態様による代替的な最終MEMS構造体及びそれぞれの処理ステップを示す。 図9−図11に示す構造体のいずれかを表す上面図を示す。 本発明の別の態様による代替的な中間構造体及びそれぞれの処理ステップを示す。 本発明の別の態様による代替的な中間構造体及びそれぞれの処理ステップを示す。 図12及び図13の構造体による代替的な最終構造体及びそれぞれの処理ステップを示す。 本発明の別の態様による代替的な中間構造体及びそれぞれの処理ステップを示す。 本発明の別の態様による代替的な中間構造体及びそれぞれの処理ステップを示す。 図16及び図17の構造体による代替的な最終構造体及びそれぞれの処理ステップを示す。 半導体の設計、製造、及び/又は試験に用いられる設計プロセスのフロー図である。
本発明は、集積回路スイッチ及び製造方法に関し、より具体的には、集積MEMSスイッチ、設計構造体、及びそうしたスイッチの製造方法に関する。実施において、本発明は幾つかの新規なMEMSスイッチの種々の方法及び構造体を含む。有利なことに、構造体を形成する方法は、MEMS構造体にかかる全体の応力を減らし、材料のばらつきを減らすと共に、MEMSスイッチの接触抵抗を減らす。さらに、本発明の種々の方法は、スティックションをなくす、例えば、処理中に閉じた状態でフリーズすることが原因でスイッチが開かなくなることをなくす。
実施形態において、MEMSスイッチは、MEMSデバイスから離れた状態で形成される開口部(MEMSデバイスの真上に開口部を有する従来の構造体と比べて)を用いて製造される。つまり、本発明の開口部(通気孔)は、MEMSデバイスのいずれの部分の上にも形成されない。実施形態において、この開口部(通気孔)は、MEMSドームの下縁に沿って犠牲材料を堆積させ、1つ又は複数のタブを形成することによって達成することができる。随意的な実施形態において、犠牲材料は、下部キャビティ、上部キャビティ内への犠牲材料の堆積中に、又は別個の堆積プロセス中に堆積させることができる。ドーム内の犠牲材料を除去するために、ドーム内で1つ又は複数のタブの上に1つ又は複数の開口部を形成する。次に、開口部をキャッピング材料で密封して、MEMSデバイスを密閉する。開口部がMEMS構造体から離れているので、キャッピング材料は、例えば、カンチレバー梁(cantilever beam)又はコンタクト電極など、いずれのMEMS構造体の上にも堆積されず、それによりMEMSデバイスの応力、材料のばらつき及び接触抵抗が減少される。
図1は、金属間誘電体層10を含む開始構造体を示す。当業者であれば理解すべきであるように、誘電体材料10は、集積回路内のM+1の配線レベルとすることができる。図1には示されないが、誘電体材料10は、集積回路の形成と共に用いられるいずれかの周知の型のウェハの上に設けることができる。例えば、ウェハは、数例を挙げれば、シリコン、SOI、SiGe、石英、サファイア、ガラス、又はガリウムヒ素とすることができる。実施形態において、誘電体層10はSiOであるが、本発明により他の誘電体材料も考えられる。誘電体層10は、プラズマ強化化学気相堆積(PECVD)などのいずれかの周知の方法を用いて堆積させることができる。
周知の方法を用いて、配線12を誘電体層10内に形成する。例えば、通常のリソグラフィ・プロセスを用いて、複数のビアを形成する。レジストを誘電体層10の上に堆積させ、レジストの選択部分を露光して開口部を形成する。後のプロセスにおいて、例えば、反応性イオン・エッチング(RIE)のような通常のプロセスを用いて、誘電体材料10をエッチングして、ビアを形成する。このビアを周知の金属又は金属合金で充填して、配線12を形成する。レジストは剥離して除去することができる。
実施形態において、配線12は、シングル又はデュアル・ダマシン銅配線技術、又は減法エッチング・アルミニウム配線技術を用いて形成することができる。配線12はまた、例えば、物理気相堆積(PVD)、化学気相堆積(CVD)、電気めっき堆積(ECP)、金属有機物化学気相堆積(MOCVD)等のようないずれかの周知のプロセスの組み合わせを用いてメタライズ(metallize)することができる。1つの例示的な実施形態において、配線は、TiNライナを有するタングステン・プラグとすることができる。別の実施形態においては、配線12は、TaN/Taライナと共に銅を用いて形成される。別の実施形態において、配線12は、配線レベルの間にダマシン・タングステン・ビア又はテーパー状AlCuビアを用いる減法エッチングAlCu又はAlCuSiプロセスによって形成される。
図2において、例えばCVDのような任意の通常の堆積法を用いて、誘電体層10の上に随意的なSiN層14が堆積される。誘電体層10に関して上述したのと同様の方法で、SiN層14上に第2の金属間誘電体層16が堆積される。当業者には周知のシングル又はデュアル・ダマシン・プロセスを用いて、SiN層14及び誘電体層16内に配線層18及び20を形成し、下層の金属配線層12と接触させる。配線は、例えば銅配線とすることができる。
図3に示すように、図2の構造体上に誘電体層22が堆積される。誘電体層22の高さは、約0.5ミクロンとすることができ、上述したような通常の技術を用いて誘電体層22を堆積させることができる。その後、通常のプロセスを用いて、配線層24、28が形成される。例えば、通常のリソグラフィ及びエッチング・プロセスを用いて、ビアが誘電体層22内に形成される。ビア内部を含む誘電体層22上に、誘電体材料がブランケット堆積される。導電性材料は、例えば、Al、Cu、AlCu、TaN、Ta、又はTiNとすることができる。
実施形態において、金のような、ロバストなコンタクト金属(メタラジ)の薄層30を導電性材料上、特に下部コンタクト電極28a上に堆積させ、パターン形成することができる。実施形態において、金の薄層30は、約100nmであるが、本発明により他の寸法も考慮される。例えば、物理気相堆積(PVD)、金属有機化学気相堆積(MOCVD)、蒸着、又は電気めっき等のような任意の周知の方法を用いて、金を堆積させることができる。代替的に、実施形態において、ロバストなコンタクト・メタラジの薄膜は、配線層28の一番上の膜とすることができる。
ひとたび堆積されると、通常のリソグラフィ及びエッチング・プロセスを用いて配線層28をパターン形成し、例えば図3に示すパターンを形成することができる。パターンは、MEMSスイッチのための下部電極として働く配線28aと、強制的にスイッチを下部電極28aと接触させる強制電極28bとを含む。当業者であれば、本発明により異なるパターンも考慮されることを理解すべきである。実施形態において、例えば、TiN又はAlCuなどの下層の金属に対して選択的な金ウェット・エッチングを用いて、配線28をパターン形成することができる。代替的な実施形態において、配線28は、RIE及び洗浄の後、金で選択的にめっきすることができる。
図4においては、図3の構造体上に犠牲材料を堆積させて、最終的にMEMS構造体の下のキャビティとなるものを形成する。犠牲材料32は、スパッタSi、又は、例えば、ポリメチルグルタルイミド(PMGI)、スピンオン・ガラス、又は感光性ポリイミド(PSPI)のような有機ポリマーとすることができる。PMGIは、殆どのg線、i線、及びDUVフォトレジストと適合し、かつ、Si、SiN、NiFe、Cu、Au、GaAs、及び他のIII−V族/II−VI族材料に対する優れた付着性を有する。PMGIはまた、高い熱安定性を示し、例えば、スピン・コーティングのようないずれかの通常の方法で塗布することができる。実施形態において、犠牲材料32は、配線28(例えば、配線28a、28b及び28c)の上に延びるように堆積させることができる。犠牲材料32を、構造体の側部に1つ又は複数のタブ(例えば、延長部分)32aを含むように堆積させ、パターン形成することもできる。実施形態において、タブ32aは、MEMS構造体のカンチレバー梁の形成を支援するために用いられる犠牲層とは別個の堆積プロセスを用いて形成される。このシナリオにおいて、構造体は、2重の高さの構造体であるが、以下でより詳細に説明されるように、タブ32aを、犠牲材料と同じプロセスにおいて形成し、凡そカンチレバー梁の下面の高さにすることもできる。実施形態において、タブ32aは、MEMS構造体から離れたいずれの場所にあってもよい。一例において、タブ32aは、構造体のコーナー部にあることができる。別の例において、タブ32aは、構造体の複数のコーナー部にあってもよく、或いは構造体の1つ又は複数の側部に沿って存在してもよい(図12を参照されたい)。いずれのシナリオにおいても、タブ32aは、MEMS構造体の側部に位置する。
実施形態において、犠牲材料は、約50ミクロンの幅及び約200ミクロンの長さとし、タブ32aの高さは約0.2ミクロンとし、長さは約10ミクロンとすることができる。タブ32aは、MEMS構造体から離れており、例えばMEMS構造体の側部にあり、構造体の表面に対して実質的に水平方向の平坦な面を有することが好ましい。
図5に示すように、材料、好ましくは導電性材料、又は導電性材料と絶縁材料の組み合わせが、犠牲材料32の上に形成され、配線28cの露出部分と接触して、カンチレバー梁34、例えば、スイッチの上部電極を形成する。スイッチの上部電極内の材料は、例えば、堆積、パターン形成、及びエッチングによって、又は、パターン形成、堆積、及びリフトオフ法を用いて形成することができる。実施形態において、構造体に特定の剛性を与えるために、カンチレバー梁34は、約100ミクロンの長さ及び約0.5乃至約10ミクロンの厚さであるが、本発明により他の寸法も考慮される。層34は、単一のモノリシック材料として示されるが、導体と絶縁体の多層構成とすることができ、1つ又は複数のパターン形成ステップ(図示せず)により、図16−図18に後述されるような複合梁が形成される。
カンチレバー梁34はまた、コンタクト配線28a及び強制電極28cの上を所定の距離だけ延びる。実施形態において、強制電極28cとカンチレバー梁34の底面との間の所定の距離は、約0.1ミクロン乃至約5ミクロンであるが、本発明により他の寸法も考慮される。上述の寸法は、強制電極28bが、強制的にカンチレバー梁34を下向きにして下部電極28a(パターン形成された配線28から形成される)と接触させることを保証する。カンチレバー梁が示されるが、ブリッヂ(即ち、両端で固定された)のようないずれかのMEMS梁を用いることもできる。
実施形態において、カンチレバー梁34の導電性材料は、例えば、Al、Ti、TiN、Cu、Au、AlCu、又は金、或いはこれらの任意の組み合わせのような、いずれかの導電性材料とすることができ、使用される場合、絶縁体は、二酸化シリコン、窒化シリコン、アルミナ等を含む絶縁体のいずれかの組み合わせとすることができる。実施形態において、カンチレバー梁34は、例えば、その下面上の高融点ライナを有する電気めっきされた(ECT)金とすることができる。さらに別の実施形態において、カンチレバー梁34は、PVDのような通常のプロセスを用いて形成された、その下面上の金ライナを有するAlCuとすることができる。さらに別の代替的な実施形態においては、カンチレバー梁34は、ECP金のコーティングを有するAlCuとすることができる。この後者の実施形態において、ECP金コーティングは、カンチレバー梁34の下面、又はカンチレバー梁34の下面及び上面の両方の上に形成することができる。
図6においては、犠牲材料36を図5の構造体上に堆積させて、梁の上の上部キャビティとなるものを形成する。犠牲材料36は、例えば、シリコン、PSPI、又はPMGIとすることができる。従って、犠牲材料36は、犠牲材料32のために用いられたのと同じ材料とするか、又は異なる材料とすることができる。代替的な実施形態において、この処理ステップにおいて、タブ32aを形成することができる。
図7においては、図6の構造体の上にキャップ38を形成する。より具体的には、キャップ38は、犠牲材料36、タブ32a及び構造体の他の部分上に堆積された、例えば、二酸化シリコン、SiN、又は、多層の誘電体ライナのようなハード・キャップである。タブ32aの上に形成されたキャップ38は、単一焦点面を有する平面となることが好ましい。キャップ38の堆積は、例えば、CVD又はPVDプロセスのようないずれかの通常の堆積プロセスによることができる。実施形態において、キャップ38は、約3ミクロンの厚さである。
図8は、本発明によるエッチング及び剥離プロセスを示す。より具体的には、図8において、キャップ38内に、より具体的には、開口部40が、MEMS構造体から離れて、タブ32a上に形成される。開口部40は、例えば、RIEのような通常のエッチング・プロセスを用いて形成することができる。実施形態において、開口部40は、タブ32aに対して完全に位置合わせされる(真上に)か、又はオフセットされる(部分的に位置合わせされる)ことが可能である。図8の表示においては、開口部40は、タブ32aに対してオフセットしているが、図8は、開口部40とタブ32aとの位置合わせも等しく示している。開口部は、カンチレバー梁34の端部から離れている。
開口部40は、1つ又は複数のタブ32a、又はタブ32aの任意の組み合わせの上に設けられるので、MEMS構造体から離れて単一焦点面内にパターン形成される。開口部40は、約1ミクロンの幅とすることができ、正方形又は矩形形状のいずれかで構成することができる。矩形形状を用いる場合、タブの寸法に応じて、約1ミクロンの幅で約10ミクロン又はそれより長い長さの矩形が用いられる。しかしながら、当業者であれば、本発明により他の寸法の開口部も考慮されることを認識するであろう。
図8にさらに示すように、キャップ内の犠牲材料を剥離して、キャップ38内にボイドをもたらす。例えば、犠牲材料は、開口部40を通って、ドライ又はウェット・エッチング化学物質を用いて剥離することができる。より具体的には、例えばPMGIの場合、PMGIは、Nメチル−2−ピロリジン(NMP)及び/又はジメチルスルホキシド(DMSO)ベースの除去剤中で剥離することができる。また、PGMIは、DUV、Eビーム、及びX線感受性であり、かつ、下流型アッシャ、高密度プラズマRIEチャンバ、又は平行板型RIEチャンバ内で用いられるような酸素プラズマ中で高いエッチング速度を示す。酸素プラズマ剥離の任意の周知の方法が考えられるが、PMGI除去中のウェハ温度が>100℃(例えば、150℃)である場合、PMGI除去速度は高くなる。前述したように、任意の周知の方法、即ちPVD、CVD等により堆積された、シリコンなどの他の犠牲材料を用いることができる。犠牲材料のためにシリコンを用いる場合、シリコンは、例えば、当技術分野において周知のようなXeFガスを用いるツールなど、横方向下流型シリコン・エッチング・ツールを用いて除去される。さらに、シリコンが犠牲材料として用いられる場合、シリコン・エッチング剤のガス又は液体に曝される配線及びビア表面を、シリコン・エッチングの前に、シリコン・エッチングによってエッチングされない誘電体又は導体で被覆する必要がある。
図9は、最終構造体及びそれぞれの処理ステップを示す。具体的には、図9は、開口部が通常の堆積プロセスを用いたSiN、二酸化シリコン、又は多層材料42の堆積により密封されることを示す。SiN材料42は、例えば、約2ミクロンの厚さとすることができる。このように、MEMSスイッチは、キャップ38、42内に密封される。シールは、カンチレバー梁34の端部から離れている。
開口部がMEMSスイッチから離れているので、SiN材料42は、カンチレバー梁34又はコンタクト電極28a(又は、他のMEMS構造体)上には堆積されない。代わりに、SiN材料42は、キャップ38上及び開口部40内に堆積する。従って、ドームは、決してMEMS構造体に影響を及ぼすことなく、密封される。つまり、SiN材料42は、カンチレバー梁34上には堆積されず、そのため、望ましくない応力及び引っ張り電圧のばらつきをもたらすカンチレバー梁34のあらゆる可能な寸法のばらつきが回避される。また、SiN材料42は、固定電極(コンタクト電極28a)上に堆積されず、そのため、高い接触抵抗が回避され、通気孔を通じて起こるSiN材料の堆積の望ましくない影響も回避される。
図10は、本発明の別の態様による代替的な最終MEMS構造体及びそれぞれの処理ステップを示す。より具体的には、図10において、開口部40が、キャプ38内に形成され、より具体的には、MEMS構造体から離れて、タブ32aの真上に位置合わせされる。前述のように、開口部40は、例えばRIEのような通常のエッチング・プロセスを用いて形成することができる。開口部を形成した後、犠牲材料を剥離して、キャップ38内にボイドをもたらす。開口部40は、通常の堆積プロセスを用いたSiN材料42の堆積により密封される。開口部40はMEMSスイッチから離れているので、SiN材料42は、カンチレバー梁34又はコンタクト電極28a上には堆積されず、そのため上述した悪影響が回避される。
図11は、本発明の別の態様による代替的な最終MEMS構造体及びそれぞれの処理ステップを示す。この実施形態において、タブ32aは、カンチレバー梁34を支持する(そして、MEMSデバイスの下部構造体を埋め込む)ために用いられる犠牲材料32の形成中に(これと同時に)形成される。犠牲材料の堆積と同時のタブ32aを形成することにより、例えば、タブを形成するのに別個の堆積ステップを有する必要がないという点で、処理ステップが低減される。この実施形態において、タブ32aは、カンチレバー梁34の下面とほぼ同じ高さになるように形成される。(例えば、図4を参照されたい)
図11に示す実施形態において、開口部40が、同じくMEMS構造体から離れて、タブの側部のキャップ38内に形成される。当業者であれば、開口部は、依然としてキャップの上に、タブと位置合わせされた状態又はタブからオフセットされた状態で形成できることを理解すべきである。前述のように、開口部40は、例えば、RIEのような通常のエッチング・プロセスを用いて形成することができる。開口部40を形成した後、犠牲材料を剥離して、キャップ38内にボイドを生成する。開口部40は、通常の堆積プロセスを用いたSiN材料42の堆積によって密封される。同様に開口部40がMEMSスイッチから離れているので、SiN材料42は、カンチレバー梁34又はコンタクト電極28a上には堆積されず、そのため、上述した悪影響が回避される。
図12は、図9−図11に示す構造体のいずれかを表す上面図を示す。特に、図12は、能動領域(MEMS構造体)から離れた状態で、構造体の側部及び/又はコーナー部から延びているタブ32aを示す。タブ32aは、破線で表され、側部又はコーナー部に沿った配置を多数の位置又は単一の位置、又はそれらの任意の組み合わせとすることができることを示す。
図13−図15は、本発明の別の態様による代替的な構造体及び処理ステップを示す。具体的には、図13−図15は、純粋ウェット除去法と関連したスティックションを回避するための、2段階のウェット/ドライ犠牲MEMS層除去プロセスを示す。
より具体的には、図13は、通常の作製プロセスを用いて作製された中間構造体を示す。図13の構造体は、全体が参照番号46で示されるBEOLデバイスを有する基板を含む。当業者であれば理解すべきであるように、基板は、能動素子、受動素子、及びメモリ素子を含む。能動素子、受動素子及びメモリ素子は、当業者には周知の通常のリソグラフィ・プロセス、エッチング・プロセス、及び堆積プロセスを用いて作製することができる。BEOLデバイスは、同じく通常の方法で作製される配線、ビア及び受動素子を含む。配線層28が、BEOL層上に堆積される。配線層28は、上述のような強制電極及びコンタクト電極を表すものとすることができる。
犠牲層44は、通常の堆積法を用いて配線層28(キャビティの下部内の)上に堆積される。犠牲層44は、例えばPVDを用いて堆積された犠牲シリコン層とすることができる。代替的に、犠牲層44は、ポリ(アリーレン)エーテルであるDow Chemical SiLK(登録商標)、ダイヤモンド状炭素のようないずれかの材料、又は後の犠牲層32/36のために用いられる剥離プロセスによって除去されないいずれかの材料とすることができる。犠牲層44は、約10nmから約1ミクロンまでの範囲にわたることができ、1つの説明に役立つ例が約50nmである、薄層である。犠牲層44は、ウェット・エッチング・プロセス中に起こり得るスティックションを防止する。
図13の構造体はまた、カンチレバー梁34も含む。上述のように、カンチレバー梁34及び配線層28は、犠牲材料32/36、PMGI、又はウェット剥離される別の材料内に埋め込まれる。図13に示すように、犠牲層44は、カンチレバー梁34と配線層28との間に設けられる。この構造体の上にキャップ38を形成して、ドーム構造体を形成する。キャップ38は、例えばSiNとすることができる。通常のプリント法を用いて、複数の開口部40が、キャップ38内に形成される。
図14は、ウェット・エッチング剤を用いる層32/36の通気孔剥離プロセスを示す。剥離プロセスには、MEMS構造体を形成するのに用いられる犠牲材料32/36に応じて、異なるエッチング剤を用いることができる。例えば、表1は、本発明によって企図される異なるエッチング剤の使用を示す。
Figure 0005651178
図15は、本発明のこの態様によるドライ・エッチング除去プロセス及び密封プロセスを示す。より具体的には、ウェット・エッチング・プロセスが完了した後、ドライ・エッチング・プロセスによって犠牲層44を除去する。例えば、XeF下流エッチングを用いて、犠牲シリコン材料を剥離することができる。代替的に、N/H又はO磁気強化反応性イオン・エッチング(MERIE)、プラズマ・エッチング、O又はO/O下流エッチングを用いて、ポリ(アリーレン)エーテルを剥離することができる。いずれのシナリオにおいてもドライ・エッチング剤がカンチレバー梁を剥離し、それにより、スティックションと関連した問題が回避される。次に、キャップ42を構造体上に堆積させて、開口部(通気孔)を密封する。キャップ42は、例えば、SiN材料とすることができる。
図16及び図17は、ダマシン処理を用いてキャビティを形成する本発明の別の態様による代替的な中間構造体及びそれぞれの処理ステップを示す。図18は、図16及び図17の構造体による代替的な最終構造体及びそれぞれの処理ステップを示す。具体的には、図16−図18の構造体は、平坦な表面のMEMS構造体又は他の能動構造体を示す。
より具体的には、図16は、下部キャビティ50a、上部キャビティ50b内に形成され、かつ、下部キャビティ50aと上部キャビティ50bを接続するビア50c内に延びる犠牲材料48を有する中間構造体を示す。当業者であれば理解すべきであるように、キャビティ50a、50b及びビア50cは、通常のリソグラフィ及びパターン形成プロセスを用いて形成される。犠牲材料48は、例えばシリコンとすることができる。図16の構造体は、通常のリソグラフィ、パターン形成及び堆積プロセスによって形成された幾つかの配線層52をさらに示す。配線層52は、任意の周知の金属又は金属合金を含むことができ、例えば酸化物で被覆することができる。実施形態において、下部キャビティ50a及び上部キャビティ50bは、酸化物又は他の誘電体材料54内に埋め込まれる。酸化物又は他の誘電体材料54の上面は、平坦化されて平坦な面を形成する。下部キャビティ50aまで延びるビア56が、構造体内に形成される。実施形態において、ビア56は上部キャビティ50bから離れるように形成される。
図17は、本発明の態様による剥離プロセスを示す。より具体的には、エッチング剤を用いて犠牲材料48を剥離し、ビア50c並びに下部キャビティ50a及び上部キャビティ50b内にボイド又は空洞58を形成する。一例として、犠牲材料48は、例えば、XeFドライ・エッチング、KOH又はNaOHウェット・エッチングのようなシリコン・エッチングを用いて剥離することができる。
図18において、例えば、例えば上述の二酸化シリコン又は窒化シリコンのような誘電体材料60で、ビア56が密封される。酸化物材料60は、CVD、スピンオン、又は上述した他のプロセスを用いて、ビア内に堆積させることができる。一例において、窒化物の厚さは0.8μmであり、これが直径1μmの通気孔を密封する。酸化物の堆積は、MEMS構造体又は他の能動構造体から離れて行われる。例えば、窒化物材料62を、酸化物材料60の上に堆積させる。窒化物材料62は、例えば、CMPプロセスを用いて平坦化することができる。一実施形態において、窒化物の堆積は、3mm、400℃の窒化物堆積プロセスを含む。
設計構造体
図19は、好ましくは設計プロセス910によって処理される入力設計構造体920を含む、複数のこのような設計構造体を例示する。設計構造体920は、設計プロセス910によって生成され、処理され、ハードウェア・デバイスの論理的に等価な機能的表現を生じる、論理シミュレーション設計構造体とすることができる。設計構造体920はさらに、或いは代替的に、設計プロセス910によって処理されたときに、ハードウェア・デバイスの物理的構造の機能的表現を生成するデータ及び/又はプログラム命令を含むこともできる。機能的及び/又は構造的設計特徴のどちらを表現するのであれ、設計構造体920は、コア開発者/設計者によって実施されるような電子的コンピュータ支援設計(ECAD)を用いて生成することができる。機械可読データ伝送、ゲートアレイ、又はストレージ媒体上でコード化された場合、設計プロセス910内の1つ又は複数のハードウェア及び/又はソフトウェア・モジュールによって、設計構造体920にアクセスし、これを処理して、図1−図18に示されるもののような電子コンポーネント、回路、電子若しくは論理モジュール、装置、デバイス、又はシステムをシミュレーションするか、又は他の方法で機能的に表現することができる。そのため、設計構造体920は、設計又はシミュレーション・データ処理システムによって処理されたときに、回路又は他のレベルのハードウェア論理設計を機能的にシミュレーションするか、又は他の方法で表現する、人間及び/又は機械可読のソースコード、コンパイルされた構造体、及びコンピュータ実行可能コード構造体を含む、ファイル又は他のデータ構造体を含むことができる。このようなデータ構造体は、ハードウェア記述言語(HDL)設計エンティティ、又は、Verilog及びVHDLのような低レベルHDL設計言語、及び/又は、C若しくはC++のような高レベル設計言語に適合する及び/又はこれと互換性のある他のデータ構造体を含むことができる。
設計プロセス910は、設計構造体920のような設計構造体を含むことができるネットリスト980を生成するために、好ましくは、図1−図18に示されるコンポーネント、回路、デバイス、又は論理構造体の設計/シミュレーションの機能的等価物を合成し、変換し、又は他の方法で処理するためのハードウェア及び/又はソフトウェア・モジュールを使用し、組み込む。ネットリスト980は、例えば、集積回路設計内の他の素子及び回路への接続を記述する配線、個別部品、論理ゲート、制御回路、I/Oデバイス、モデルなどのリストを表す、コンパイル又は他の方法で処理されたデータ構造体を含むことができる。ネットリスト980は繰り返しプロセスを用いて合成することができ、このプロセスにおいて、ネットリスト980は、デバイスの設計仕様及びパラメータに応じて1回又は複数回再合成される。ここで説明された他の設計構造体のタイプと同様に、ネットリスト980を機械可読データ・ストレージ媒体上に記録し、又はプログラマブル・ゲート・アレイにプログラムすることができる。媒体は、磁気又は光ディスクドライブのような不揮発性ストレージ媒体、プロブラマブル・ゲート・アレイ、コンパクト・フラッシュ、又は他のフラッシュ・メモリとすることができる。それに加えて、又は代替的に、媒体は、インターネット又は他のネットワーキングに適した手段を介してデータパケットを伝送し、中間的に格納することができる、システム又はキャッシュ・メモリ、バッファ領域、又は電気的若しくは光学的に伝導性のデバイス及び材料とすることができる。
設計プロセス910は、ネットリスト980を含む様々な入力データ構造のタイプを処理するためのハードウェア及びソフトウェア・モジュールを含むことができる。このようなデータ構造タイプは、例えば、ライブラリ要素930内に存在することができ、これは、所与の製造技術(例えば、異なる技術ノード、32nm、45nm、90nm等)についての、モデル、レイアウト、及び記号表記を含めた、一般的に用いられる素子、回路、及びデバイスのセットを含むことができる。データ構造タイプは、設計仕様940、特徴データ950、検証データ960、設計ルール970、並びに入力試験パターン、出力試験結果及び他の試験情報を含むことができる試験データ・ファイル985をさらに含むことができる。設計プロセス910は、例えば、応力分析、熱分析、機械事象シミュレーション、並びにキャスティング、モールディング、及びダイプレス成形等のような操作についてのプロセス・シミュレーションといった標準的な機械的設計プロセスをさらに含むことができる。機械設計の当業者であれば、本発明の範囲及び精神から逸脱することなく、設計プロセス910において用いられる、可能な機械設計ツール及びアプリケーションの範囲を認識することができる。設計プロセス910はまた、タイミング解析、検証、設計ルールチェック、位置及び経路の操作などのような標準的な回路設計プロセスを実行するためのモジュールを含むこともできる。
設計プロセス910は、第2の設計構造体990を作成するために、HDLコンパイラ及びシミュレーションモデル構築ツールのような論理的及び物理的設計ツールを使用し、組み込んで、設計構造体920を、図示された支持データ構造体のうちの幾つか又は全てと共に、いずれかの付加的な機械設計又はデータ(適用可能な場合)と併せて処理する。設計構造体990は、機械的なデバイス及び構造体のデータの交換に用いられるデータ形式(例えば、IGES、DXF、Parasolid XT、JT、DRG、又はこのような機械的設計構造体を格納又はレンダリングするのに適した他のいずれかの形式で格納される情報)でストレージ媒体又はプログラマブル・ゲート・アレイ上に存在する。設計構造体920と同様に、設計構造体990は、好ましくは、1つ又は複数のファイル、データ構造体、又は他のコンピュータコード化データ又は命令を含み、これは、伝送又はデータ・ストレージ媒体上に存在し、ECADシステムによって処理されたとき、図1−図18に示される1つ又は複数の本発明の実施形態の、論理的又はその他の方式で機能的に等価な形態を生成する。1つの実施形態において、設計構造体990は、図1−図18に示されるデバイスを機能的にシミュレーションする、コンパイルされた実行可能なHDLシミュレーションモデルを含むことができる。
設計構造体990は、集積回路のレイアウト・データの交換に用いられるデータ形式及び/又は記号データ形式(例えば、GDSII(GDS2)、GL1、OASIS、マップファイル、又はこのような設計データ構造体を格納するための他のいずれかの適切な形式で格納される情報)も使用することができる。データ構造体990は、例えば、記号データ、マップファイル、試験データ・ファイル、設計コンテンツ・ファイル、製造データ、レイアウト・パラメータ、配線、金属のレベル、ビア、形状、製造ラインを通じた経路選択のためのデータ、並びに、上記で説明され、図1−図18に示されるようなデバイス又は構造体を製造するために製造者又は設計者/開発者によって要求される他のいずれかのデータといった情報を含むことができる。次に、設計構造体990はステージ995に進み、ここで、例えば、設計構造体990は、テープアウトされたり、製造に引き渡されたり、マスク会社に引き渡されたり、別の設計会社に送られたり、顧客に送り返されたりされる。
上述したような方法は、集積回路チップの製造に用いられる。結果として得られる集積回路チップは、生ウェハの形態で(すなわち、複数のパッケージされていないチップを有する単一のウェハとして)、ベア・ダイとして、又はパッケージされた形態で、製造業者により流通させることができる。後者の場合、チップは、単一のチップ・パッケージ(マザーボード又は他のより高いレベルのキャリアに取り付けられたリード線を有するプラスチック製キャリアのような)、又は、マルチチップ・パッケージ(片面又は両面の相互接続部、或いは埋め込まれた相互接続部を有するセラミック製キャリアのような)の中にマウントされる。いずれにせよ、その後、チップは、他のチップ、別個の回路素子、及び/又は、(a)マザーボードなどの中間製品又は(b)最終製品のいずれかの部品のような他の信号処理デバイスと共に統合される。最終製品は、集積回路チップを含む何らかの製品とすることができる。
本明細書で用いられる用語は、特定の実施形態を説明するためだけのものであり、本発明を限定することを意図したものではない。本明細書で用いられるとき、単数形「1つの(a)」、「1つの(an)」及び「その(the)」は、そうでないことが示されていない限り、複数形も含むことが意図されている。本明細書で用いられるとき、「含む(comprises)」及び/又は「含んでいる(comprising)」という用語は、提示された特徴、整数、ステップ、動作、要素、及び/又はコンポーネントの存在を特定するものであるが、1つ又は複数の他の特徴、整数、ステップ、動作、要素、コンポーネント、及び/又はそれらのグループの存在又は追加を排除するものではないことをさらに理解されるであろう。
特許請求の範囲における全ての「手段又はステップと機能との組合せ(ミーンズ又はステップ・プラス・ファンクション)」要素の対応する構造、材料、行為及び均等物は、その機能を、明確に特許請求されているように他の特許請求された要素と組み合わせて実行するための、いかなる構造、材料又は行為をも含むことが意図される。本発明の説明は、例示及び説明の目的で提示されたものであるが、網羅的であることを意図するものではなく、本発明を開示された形態に限定することを意図するものでもない。本発明の範囲及び精神から逸脱することのない多くの変更及び変形が、当業者には明らかである。実施形態は、本発明の原理及び実際の用途を最も良く説明するため、及び、当業者が本発明を種々の変更を有する種々の実施形態について企図される特定の使用に適したものとして理解することを可能にするために、選択及び記載された。
10、16、22:誘電体層(誘電体材料)
12、18、20、24、28、52:配線(配線層)
14:SiN層
28a:下部コンタクト電極(配線)
28b:強制電極(配線)
28c:強制電極(配線)
30:金の薄層
32、36、48:犠牲材料
32a:タブ
34:カンチレバー梁
38:キャップ
40:開口部
42:SiN材料
44:犠牲層
50a、50b:キャビティ
50c、56:ビア
58:ボイド
60:酸化物材料
62:窒化物材料
910:設計プロセス
920、990:設計構造体
930:ライブラリ要素
940:設計仕様
950:特徴データ
960:検証データ
970:設計ルール
980:ネットリスト
985:試験データ・ファイル
995:ステージ

Claims (4)

  1. MEMSスイッチを製造する方法であって、
    断面形状において略中央部に位置するビアによって接続され、犠牲材料で一時的に充填される下部及び上部キャビティ内にMEMS構造体を形成するステップであって、前記MEMS構造体は、前記下部及び上部キャビティの間の前記ビアの両側の少なくとも一方に設けられ、前記下部キャビティは、犠牲材料から形成された少なくとも1つのタブを含む、ステップと、
    前記下部及び上部キャビティの部分、並びに、前記MEMS構造体の上を囲む誘電体層を形成するステップと、
    前記下部キャビティの上の前記MEMS構造体の側部の前記誘電体層内に前記少なくとも1つのタブに至る通気孔を開口するステップと、
    ドライ又はウェット・エッチング剤を用いて、前記通気孔を介して前記犠牲材料を剥離し、前記MEMS構造体の周りにボイドを形成するステップと、
    前記通気孔をキャッピング材料で密封するステップと、を含む方法。
  2. 前記下部キャビティその表面に、前記MEMS構造体のコンタクト電極及び強制電極を含む、請求項に記載の方法。
  3. 前記通気孔は、前記少なくとも1つのタブの上に完全に位置合わせされる、前記少なくとも1つのタブに対してオフセットされる、及び前記少なくとも1つのタブの側部に形成される、の中から選択された1つである、請求項に記載の方法。
  4. 前記犠牲材料はシリコンであり、前記剥離することはXeFガスを用いて行われる、または、前記犠牲材料はPMGIであり、前記剥離することはN−メチル−2−ピロリジン(NMP)及び/又はジメチルスルホキシド(DMSO)ベースの除去剤を用いて行われる、のうちの一方である、請求項に記載の方法。
JP2012526823A 2009-08-27 2010-08-12 集積回路スイッチ、設計構造体及びその製造方法 Active JP5651178B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/548,697 US8569091B2 (en) 2009-08-27 2009-08-27 Integrated circuit switches, design structure and methods of fabricating the same
US12/548,697 2009-08-27
PCT/US2010/045248 WO2011028384A1 (en) 2009-08-27 2010-08-12 Integrated circuit switches, design structure and methods of fabricating the same

Publications (2)

Publication Number Publication Date
JP2013503446A JP2013503446A (ja) 2013-01-31
JP5651178B2 true JP5651178B2 (ja) 2015-01-07

Family

ID=43533073

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012526823A Active JP5651178B2 (ja) 2009-08-27 2010-08-12 集積回路スイッチ、設計構造体及びその製造方法

Country Status (7)

Country Link
US (2) US8569091B2 (ja)
JP (1) JP5651178B2 (ja)
CN (1) CN102471048A (ja)
DE (2) DE112010003412T5 (ja)
GB (2) GB2485714B8 (ja)
TW (1) TWI529120B (ja)
WO (1) WO2011028384A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9892879B2 (en) * 2011-01-11 2018-02-13 Qorvo Us, Inc. Encapsulated micro-electromechanical system switch and method of manufacturing the same
US8569091B2 (en) 2009-08-27 2013-10-29 International Business Machines Corporation Integrated circuit switches, design structure and methods of fabricating the same
US8685778B2 (en) 2010-06-25 2014-04-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8535966B2 (en) 2010-07-27 2013-09-17 International Business Machines Corporation Horizontal coplanar switches and methods of manufacture
US20120162232A1 (en) * 2010-12-22 2012-06-28 Qualcomm Mems Technologies, Inc. Method of fabrication and resultant encapsulated electromechanical device
US9120667B2 (en) 2011-06-20 2015-09-01 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US20130106875A1 (en) * 2011-11-02 2013-05-02 Qualcomm Mems Technologies, Inc. Method of improving thin-film encapsulation for an electromechanical systems assembly
US8673670B2 (en) * 2011-12-15 2014-03-18 International Business Machines Corporation Micro-electro-mechanical system (MEMS) structures and design structures
US9166271B2 (en) * 2012-06-01 2015-10-20 Purdue Research Foundation Tunable cavity resonator including a plurality of MEMS beams
US8927312B2 (en) * 2012-10-16 2015-01-06 International Business Machines Corporation Method of fabricating MEMS transistors on far back end of line
US9371222B2 (en) * 2013-03-15 2016-06-21 Honeywell International Inc. Microstructure plating systems and methods
JP5881635B2 (ja) * 2013-03-25 2016-03-09 株式会社東芝 Mems装置
US9969613B2 (en) 2013-04-12 2018-05-15 International Business Machines Corporation Method for forming micro-electro-mechanical system (MEMS) beam structure
US9496110B2 (en) * 2013-06-18 2016-11-15 Globalfoundries Inc. Micro-electro-mechanical system (MEMS) structure and design structures
US9911563B2 (en) * 2013-07-31 2018-03-06 Analog Devices Global MEMS switch device and method of fabrication
FR3012671B1 (fr) * 2013-10-29 2015-11-13 St Microelectronics Rousset Dispositif mecanique integre a mouvement vertical
CN105523519B (zh) * 2014-09-29 2017-08-25 中芯国际集成电路制造(上海)有限公司 Mems器件及其形成方法
JP2016163917A (ja) * 2015-03-06 2016-09-08 株式会社東芝 Mems装置
US10854761B1 (en) * 2015-03-30 2020-12-01 Southern Methodist University Electronic switch and active artificial dielectric
TWI625784B (zh) * 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
UA124196C2 (uk) 2016-04-13 2021-08-04 Імд Нечурал Солюшнс Гмбх Композиційні системи для антимікробних гліколіпідів
US10748757B2 (en) 2017-09-21 2020-08-18 Honeywell International, Inc. Thermally removable fill materials for anti-stiction applications
US10727044B2 (en) 2017-09-21 2020-07-28 Honeywell International Inc. Fill material to mitigate pattern collapse
US10793422B2 (en) * 2018-12-17 2020-10-06 Vanguard International Semiconductor Singapore Pte. Ltd. Microelectromechanical systems packages and methods for packaging a microelectromechanical systems device

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5258097A (en) 1992-11-12 1993-11-02 Ford Motor Company Dry-release method for sacrificial layer microstructure fabrication
US5546557A (en) 1993-06-14 1996-08-13 International Business Machines Corporation System for storing and managing plural logical volumes in each of several physical volumes including automatically creating logical volumes in peripheral data storage subsystem
EP0650289A1 (en) 1993-10-04 1995-04-26 Eastman Kodak Company Method and apparatus for generating a halftone pattern for a multi-level output device
US5578976A (en) 1995-06-22 1996-11-26 Rockwell International Corporation Micro electromechanical RF switch
US6872984B1 (en) 1998-07-29 2005-03-29 Silicon Light Machines Corporation Method of sealing a hermetic lid to a semiconductor die at an angle
US6605043B1 (en) 1998-11-19 2003-08-12 Acuson Corp. Diagnostic medical ultrasound systems and transducers utilizing micro-mechanical components
JP2002531246A (ja) 1998-12-02 2002-09-24 マサチューセッツ・インスティチュート・オブ・テクノロジー 水素分離及び水素化/脱水素反応のための集積されたパラジウムをベースとする微小膜
US6127812A (en) 1999-02-16 2000-10-03 General Electric Company Integrated environmental energy extractor
WO2003007049A1 (en) 1999-10-05 2003-01-23 Iridigm Display Corporation Photonic mems and structures
US7071520B2 (en) * 2000-08-23 2006-07-04 Reflectivity, Inc MEMS with flexible portions made of novel materials
US6822304B1 (en) * 1999-11-12 2004-11-23 The Board Of Trustees Of The Leland Stanford Junior University Sputtered silicon for microstructures and microcavities
US7153717B2 (en) * 2000-05-30 2006-12-26 Ic Mechanics Inc. Encapsulation of MEMS devices using pillar-supported caps
US7008812B1 (en) * 2000-05-30 2006-03-07 Ic Mechanics, Inc. Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation
US6465280B1 (en) 2001-03-07 2002-10-15 Analog Devices, Inc. In-situ cap and method of fabricating same for an integrated circuit device
US6808954B2 (en) * 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
EP1717195B1 (en) * 2001-11-09 2011-09-14 WiSpry, Inc. Trilayered beam MEMS switch and related method
DE10161953A1 (de) * 2001-12-17 2003-06-26 Infineon Technologies Ag Verfahren zum Herstellen einer Mikrostruktur
US6953985B2 (en) 2002-06-12 2005-10-11 Freescale Semiconductor, Inc. Wafer level MEMS packaging
US7265429B2 (en) 2002-08-07 2007-09-04 Chang-Feng Wan System and method of fabricating micro cavities
JP4333417B2 (ja) 2003-04-02 2009-09-16 ソニー株式会社 マイクロマシンの製造方法
US7145213B1 (en) 2004-05-24 2006-12-05 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
DE102004032837A1 (de) 2004-07-02 2006-02-09 Beiersdorf Ag Verwendung von Wirkstoffkombinationen aus einem oder mehreren Biochinonen und einem oder mehreren Isoflavonen zur Verbesserung der Hautkonturen
US7259449B2 (en) 2004-09-27 2007-08-21 Idc, Llc Method and system for sealing a substrate
US7684104B2 (en) * 2004-09-27 2010-03-23 Idc, Llc MEMS using filler material and method
ATE459867T1 (de) 2004-10-18 2010-03-15 Silverbrook Res Pty Ltd Mikro-elektromechanischer drucksensor
US7234357B2 (en) 2004-10-18 2007-06-26 Silverbrook Research Pty Ltd Wafer bonded pressure sensor
EP1843971B1 (en) 2005-02-04 2016-04-13 Imec Method for encapsulating a device in a microcavtiy
US7283347B2 (en) * 2005-08-31 2007-10-16 The United States Of America As Represented By The Secretary Of The Air Force Low cost digital variable capacitor
GB0522471D0 (en) * 2005-11-03 2005-12-14 Cavendish Kinetics Ltd Memory element fabricated using atomic layer deposition
JP2008296336A (ja) * 2007-05-31 2008-12-11 Toshiba Corp 中空封止構造体及び中空封止構造体の製造方法
US8653699B1 (en) 2007-05-31 2014-02-18 Rf Micro Devices, Inc. Controlled closing of MEMS switches
CN101849289B (zh) * 2007-07-23 2014-02-26 维斯普瑞公司 制备三层梁的方法和设备
JP5412031B2 (ja) * 2007-07-24 2014-02-12 ローム株式会社 Memsセンサ
JP2009043537A (ja) * 2007-08-08 2009-02-26 Toshiba Corp Memsスイッチ及びその製造方法
TW200938479A (en) 2007-10-22 2009-09-16 Toshiba Kk Micromachine device and method of manufacturing the same
US8421478B2 (en) * 2008-01-25 2013-04-16 International Business Machines Corporation Radio frequency integrated circuit with on-chip noise source for self-test
JP4581011B2 (ja) 2008-01-25 2010-11-17 株式会社東芝 電気部品とその製造方法
JP2009178815A (ja) * 2008-01-31 2009-08-13 Toshiba Corp マイクロマシン装置及びマイクロマシン装置の製造方法
US8569091B2 (en) 2009-08-27 2013-10-29 International Business Machines Corporation Integrated circuit switches, design structure and methods of fabricating the same
US20110233674A1 (en) * 2010-03-29 2011-09-29 International Business Machines Corporation Design Structure For Dense Layout of Semiconductor Devices

Also Published As

Publication number Publication date
GB2506770A (en) 2014-04-09
GB2485714B8 (en) 2015-09-23
TWI529120B (zh) 2016-04-11
DE112010006130B3 (de) 2019-06-27
US9284185B2 (en) 2016-03-15
DE112010003412T5 (de) 2012-08-16
WO2011028384A1 (en) 2011-03-10
GB2506770B (en) 2014-08-20
US20140017844A1 (en) 2014-01-16
TW201121876A (en) 2011-07-01
GB2485714A (en) 2012-05-23
US8569091B2 (en) 2013-10-29
GB2506770A8 (en) 2015-09-23
JP2013503446A (ja) 2013-01-31
CN102471048A (zh) 2012-05-23
GB201203306D0 (en) 2012-04-11
GB2506770B8 (en) 2015-09-23
GB2485714B (en) 2014-05-14
GB2485714A8 (en) 2015-09-23
GB201321363D0 (en) 2014-01-15
US20110049649A1 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
JP5651178B2 (ja) 集積回路スイッチ、設計構造体及びその製造方法
US10589992B2 (en) Micro-electro-mechanical system (MEMS) structures and design structures
TWI472475B (zh) 垂直積體電路切換器、設計結構及其製造方法
US8748207B2 (en) Hybrid MEMS RF switch and method of fabricating same
US10836632B2 (en) Method of manufacturing MEMS switches with reduced switching voltage
US8878315B2 (en) Horizontal coplanar switches and methods of manufacture
KR20160016851A (ko) 미세-전기-기계 시스템 구조들 및 설계 구조들
GB2497641A (en) MEMS composite beam
US8796058B2 (en) Semiconductor structure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130408

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131210

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140925

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141028

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20141028

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141114

R150 Certificate of patent or registration of utility model

Ref document number: 5651178

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313114

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250