JP5579721B2 - 金属シリサイド形成のための方法および装置 - Google Patents
金属シリサイド形成のための方法および装置 Download PDFInfo
- Publication number
- JP5579721B2 JP5579721B2 JP2011527867A JP2011527867A JP5579721B2 JP 5579721 B2 JP5579721 B2 JP 5579721B2 JP 2011527867 A JP2011527867 A JP 2011527867A JP 2011527867 A JP2011527867 A JP 2011527867A JP 5579721 B2 JP5579721 B2 JP 5579721B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- layer
- depositing
- titanium
- over
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 154
- 229910052751 metal Inorganic materials 0.000 title claims description 99
- 239000002184 metal Substances 0.000 title claims description 99
- 229910021332 silicide Inorganic materials 0.000 title claims description 39
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 title claims description 39
- 230000015572 biosynthetic process Effects 0.000 title description 10
- 239000000758 substrate Substances 0.000 claims description 123
- 239000000463 material Substances 0.000 claims description 120
- 230000008569 process Effects 0.000 claims description 117
- 239000010410 layer Substances 0.000 claims description 92
- 238000009792 diffusion process Methods 0.000 claims description 52
- 238000000137 annealing Methods 0.000 claims description 51
- 238000000151 deposition Methods 0.000 claims description 47
- 229910052721 tungsten Inorganic materials 0.000 claims description 35
- 239000010937 tungsten Substances 0.000 claims description 35
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 29
- 229910052710 silicon Inorganic materials 0.000 claims description 29
- 239000010703 silicon Substances 0.000 claims description 29
- 239000010936 titanium Substances 0.000 claims description 27
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 25
- 229910052719 titanium Inorganic materials 0.000 claims description 25
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 24
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 24
- 238000005224 laser annealing Methods 0.000 claims description 15
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 15
- 229920005591 polysilicon Polymers 0.000 claims description 13
- 229910021341 titanium silicide Inorganic materials 0.000 claims description 12
- 239000007769 metal material Substances 0.000 claims description 11
- -1 tungsten nitride Chemical class 0.000 claims description 11
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 5
- 229910017052 cobalt Inorganic materials 0.000 claims description 2
- 239000010941 cobalt Substances 0.000 claims description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 2
- 229910052759 nickel Inorganic materials 0.000 claims description 2
- 239000002344 surface layer Substances 0.000 claims description 2
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims 1
- 229910052742 iron Inorganic materials 0.000 claims 1
- 229910052750 molybdenum Inorganic materials 0.000 claims 1
- 239000011733 molybdenum Substances 0.000 claims 1
- 229910052758 niobium Inorganic materials 0.000 claims 1
- 239000010955 niobium Substances 0.000 claims 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 claims 1
- 229910052763 palladium Inorganic materials 0.000 claims 1
- 229910052697 platinum Inorganic materials 0.000 claims 1
- 238000005240 physical vapour deposition Methods 0.000 description 23
- 150000004767 nitrides Chemical class 0.000 description 19
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 14
- 230000008021 deposition Effects 0.000 description 13
- 230000004888 barrier function Effects 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 238000005137 deposition process Methods 0.000 description 7
- 238000005516 engineering process Methods 0.000 description 7
- 239000007789 gas Substances 0.000 description 7
- 238000010438 heat treatment Methods 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000001465 metallisation Methods 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 229910001873 dinitrogen Inorganic materials 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 239000002210 silicon-based material Substances 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910008484 TiSi Inorganic materials 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- DFJQEGUNXWZVAH-UHFFFAOYSA-N bis($l^{2}-silanylidene)titanium Chemical compound [Si]=[Ti]=[Si] DFJQEGUNXWZVAH-UHFFFAOYSA-N 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 229910021352 titanium disilicide Inorganic materials 0.000 description 2
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 2
- 229910021342 tungsten silicide Inorganic materials 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910001080 W alloy Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000007872 degassing Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28035—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
- H01L21/28044—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
- H01L21/28052—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
- H01L21/28167—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
- H01L21/28176—Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4916—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
- H01L29/4925—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
- H01L29/4933—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66848—Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
- H01L29/66856—Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
- H01L29/66863—Lateral single gate transistors
- H01L29/66878—Processes wherein the final gate is made before the formation, e.g. activation anneal, of the source and drain regions in the active layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Ceramic Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
図2は、本明細書で述べられる一実施形態による無拡散アニールプロセスを使用する金属材料の形成のためのプロセス順序200を例示する。ステップ202で示されるように、基板は、例えばPVDプロセスチャンバ38などのプロセスチャンバに提供される。温度および圧力などのプロセスチャンバ条件は、基板への金属の堆積を強化するように調整される。
ステップ208において、金属接点材料または第2の金属層が、金属窒化物材料を覆って堆積される。一実施形態では、金属接点材料は、タングステン材料を含む。従来のCVD、ALD、またはPVDなどの任意の金属堆積プロセスが、金属接点材料を堆積させるために使用されてもよい。
ステップ210において、基板は、無拡散アニールプロセスにさらされて、金属シリサイド材料を形成する。シリサイド化プロセスは、基板のシリコン含有表面を覆って堆積された金属層を金属シリサイド層に転換する。一実施形態では、金属シリサイド材料は、ケイ化チタン材料である。一実施形態では、無拡散アニールは、ミリ秒レーザアニールなどのレーザアニールを含む。別の実施形態では、無拡散アニールは、例えばキセノンフラッシュランプを使用するフラッシュランプアニールを含む。
Claims (14)
- 基板上に金属シリサイド材料を形成するための方法であって、
基板のシリコン含有表面を覆って金属材料を堆積させるステップと、
前記金属材料を覆って窒化チタン材料を堆積させるステップと、
前記窒化チタン材料を覆って窒化タングステン材料を堆積させるステップと、
前記窒化タングステン材料を覆って金属接点材料を堆積させるステップと、
前記基板を無拡散アニールプロセスにさらして、金属シリサイド材料を形成するステップと
を含む方法。 - 前記無拡散アニールプロセスは、レーザアニールプロセスまたはフラッシュランプアニールプロセスを含む、請求項1に記載の方法。
- 前記金属シリサイド材料は、前記窒化チタン材料と前記シリコン含有表面との間に形成される、請求項1に記載の方法。
- 前記無拡散アニールプロセスは、前記窒化チタンが前記シリコン含有表面層と反応しないようなプロセス条件を使用して行われる、請求項1に記載の方法。
- 前記基板を無拡散アニールプロセスにさらすステップは、前記基板を約900℃と約1100℃との間の温度にさらすステップを含む、請求項1に記載の方法。
- 前記無拡散アニールプロセスは、約10ミリ秒未満の時間間隔にわたって行われる、請求項1に記載の方法。
- 前記金属材料は、コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム、およびそれらの組合せを含む群から選択される、請求項1に記載の方法。
- 前記無拡散アニールプロセスは、約0.25から1ミリ秒の間のドウェル時間にわたって約3×104W/cm2から約1×105W/cm2の電力密度の印加を包含するレーザアニールプロセスである、請求項1に記載の方法。
- 前記レーザアニールプロセスのレーザ走査速度は、25mm/秒から250mm/秒の間である、請求項8に記載の方法。
- 基板上に金属シリサイド材料を形成するための方法であって、
ゲート電極スタックを形成するステップを含み、このゲート電極スタックを形成するステップが、
前記基板を覆ってポリシリコン層を堆積させるステップと、
前記ポリシリコン層を覆ってチタン層を堆積させるステップと、
前記チタン層を覆って窒化チタン層を堆積させるステップと、
前記窒化チタン層を覆って窒化タングステン層を堆積させるステップと、
前記窒化タングステン層を覆ってタングステン層を堆積させるステップとを含む、ステップと、
前記ゲート電極スタックを無拡散アニールプロセスでアニールして、ケイ化チタン層を形成するステップと
を含む方法。 - 前記ゲート電極スタックをアニールする前記ステップは、前記チタン層を覆って窒化チタン層を堆積させた後に行われる、請求項10に記載の方法。
- 前記ゲート電極スタックをアニールする前記ステップは、前記窒化タングステン層を覆ってタングステン層を堆積させた後に行われる、請求項10に記載の方法。
- 前記無拡散アニールプロセスは、約0.25から1ミリ秒の間のドウェル時間にわたって約3×104W/cm2から約1×105W/cm2の電力密度の印加を包含するレーザアニールプロセスである、請求項10に記載の方法。
- 前記レーザアニールプロセスのレーザ走査速度は、25mm/秒から250mm/秒の間である、請求項13に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/233,858 US20100075499A1 (en) | 2008-09-19 | 2008-09-19 | Method and apparatus for metal silicide formation |
US12/233,858 | 2008-09-19 | ||
PCT/US2009/055672 WO2010033378A2 (en) | 2008-09-19 | 2009-09-02 | Method and apparatus for metal silicide formation |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2012503336A JP2012503336A (ja) | 2012-02-02 |
JP5579721B2 true JP5579721B2 (ja) | 2014-08-27 |
Family
ID=42038103
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011527867A Expired - Fee Related JP5579721B2 (ja) | 2008-09-19 | 2009-09-02 | 金属シリサイド形成のための方法および装置 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20100075499A1 (ja) |
EP (1) | EP2338166A4 (ja) |
JP (1) | JP5579721B2 (ja) |
KR (1) | KR20110076945A (ja) |
CN (1) | CN102160160A (ja) |
TW (1) | TWI487029B (ja) |
WO (1) | WO2010033378A2 (ja) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8278200B2 (en) | 2011-01-24 | 2012-10-02 | International Business Machines Corpration | Metal-semiconductor intermixed regions |
US20120187505A1 (en) * | 2011-01-25 | 2012-07-26 | International Business Machines Corporation | Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation |
US20120313158A1 (en) * | 2011-06-09 | 2012-12-13 | Beijing Nmc Co., Ltd. | Semiconductor structure and method for manufacturing the same |
EP2783396B1 (en) * | 2011-11-23 | 2017-01-11 | Imec | Method for forming metal silicide layers |
US9190277B2 (en) | 2011-12-08 | 2015-11-17 | Texas Instruments Incorporated | Combining ZTCR resistor with laser anneal for high performance PMOS transistor |
US20130328135A1 (en) * | 2012-06-12 | 2013-12-12 | International Business Machines Corporation | Preventing fully silicided formation in high-k metal gate processing |
US20140273533A1 (en) * | 2013-03-15 | 2014-09-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Annealing Method Utilizing a Vacuum Environment |
WO2015112327A1 (en) | 2014-01-21 | 2015-07-30 | Applied Materials, Inc. | Dielectric-metal stack for 3d flash memory application |
US9543167B2 (en) * | 2014-07-15 | 2017-01-10 | Globalfoundries Inc. | FinFET source-drain merged by silicide-based material |
US9595524B2 (en) | 2014-07-15 | 2017-03-14 | Globalfoundries Inc. | FinFET source-drain merged by silicide-based material |
WO2017037339A1 (en) * | 2015-09-02 | 2017-03-09 | Beneq Oy | Apparatus for processing a surface of substrate and method operating the apparatus |
US9865466B2 (en) * | 2015-09-25 | 2018-01-09 | Applied Materials, Inc. | Silicide phase control by confinement |
TWI688004B (zh) * | 2016-02-01 | 2020-03-11 | 美商瑪森科技公司 | 毫秒退火系統之預熱方法 |
JP6839940B2 (ja) * | 2016-07-26 | 2021-03-10 | 株式会社Screenホールディングス | 熱処理方法 |
US20180076065A1 (en) * | 2016-09-15 | 2018-03-15 | Applied Materials, Inc. | Integrated system for semiconductor process |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
JP2019057682A (ja) * | 2017-09-22 | 2019-04-11 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
SG11202008268RA (en) | 2018-03-19 | 2020-10-29 | Applied Materials Inc | Methods for depositing coatings on aerospace components |
WO2019209401A1 (en) | 2018-04-27 | 2019-10-31 | Applied Materials, Inc. | Protection of components from corrosion |
US10971366B2 (en) * | 2018-07-06 | 2021-04-06 | Applied Materials, Inc. | Methods for silicide deposition |
CN111092017A (zh) * | 2018-10-23 | 2020-05-01 | 宸鸿光电科技股份有限公司 | 一种薄膜元件的制造方法 |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
EP3959356A4 (en) | 2019-04-26 | 2023-01-18 | Applied Materials, Inc. | METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
CN111261634A (zh) * | 2020-02-10 | 2020-06-09 | 无锡拍字节科技有限公司 | 一种存储器件的制造设备及其方法 |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
WO2022005696A1 (en) | 2020-07-03 | 2022-01-06 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
TWI748661B (zh) * | 2020-09-24 | 2021-12-01 | 華邦電子股份有限公司 | 記憶元件及其形成方法 |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4884123A (en) * | 1987-02-19 | 1989-11-28 | Advanced Micro Devices, Inc. | Contact plug and interconnect employing a barrier lining and a backfilled conductor material |
JP2861869B2 (ja) * | 1994-10-12 | 1999-02-24 | 日本電気株式会社 | 半導体装置の製造方法 |
JP2000036593A (ja) * | 1998-07-17 | 2000-02-02 | Fujitsu Ltd | 半導体装置 |
US6156654A (en) * | 1998-12-07 | 2000-12-05 | Chartered Semiconductor Manufacturing Ltd. | Pulsed laser salicidation for fabrication of ultra-thin silicides in sub-quarter micron devices |
US20030141573A1 (en) * | 2000-06-08 | 2003-07-31 | Ross Matthew F. | Electron beam annealing of metals, alloys, nitrides and silicides |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US8110489B2 (en) * | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US6911391B2 (en) * | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6806123B2 (en) * | 2002-04-26 | 2004-10-19 | Micron Technology, Inc. | Methods of forming isolation regions associated with semiconductor constructions |
JP2004247392A (ja) * | 2003-02-12 | 2004-09-02 | Semiconductor Leading Edge Technologies Inc | 半導体装置の製造方法 |
US6902993B2 (en) * | 2003-03-28 | 2005-06-07 | Cypress Semiconductor Corporation | Gate electrode for MOS transistors |
US20050124127A1 (en) * | 2003-12-04 | 2005-06-09 | Tzu-En Ho | Method for manufacturing gate structure for use in semiconductor device |
US7879409B2 (en) * | 2004-07-23 | 2011-02-01 | Applied Materials, Inc. | Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber |
US20060060920A1 (en) * | 2004-09-17 | 2006-03-23 | Applied Materials, Inc. | Poly-silicon-germanium gate stack and method for forming the same |
TWI237857B (en) * | 2004-10-21 | 2005-08-11 | Nanya Technology Corp | Method of fabricating MOS transistor by millisecond anneal |
US7208793B2 (en) * | 2004-11-23 | 2007-04-24 | Micron Technology, Inc. | Scalable integrated logic and non-volatile memory |
JP5291866B2 (ja) * | 2005-05-31 | 2013-09-18 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US7666771B2 (en) * | 2005-12-09 | 2010-02-23 | Semequip, Inc. | System and method for the manufacture of semiconductor devices by the implantation of carbon clusters |
US7569463B2 (en) * | 2006-03-08 | 2009-08-04 | Applied Materials, Inc. | Method of thermal processing structures formed on a substrate |
US7410852B2 (en) * | 2006-04-21 | 2008-08-12 | International Business Machines Corporation | Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors |
US7795124B2 (en) * | 2006-06-23 | 2010-09-14 | Applied Materials, Inc. | Methods for contact resistance reduction of advanced CMOS devices |
WO2008016851A1 (en) * | 2006-07-28 | 2008-02-07 | Applied Materials, Inc. | Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions |
JP5309454B2 (ja) * | 2006-10-11 | 2013-10-09 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
KR100843879B1 (ko) * | 2007-03-15 | 2008-07-03 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
-
2008
- 2008-09-19 US US12/233,858 patent/US20100075499A1/en not_active Abandoned
-
2009
- 2009-09-02 EP EP09814988A patent/EP2338166A4/en not_active Withdrawn
- 2009-09-02 WO PCT/US2009/055672 patent/WO2010033378A2/en active Application Filing
- 2009-09-02 KR KR1020117008917A patent/KR20110076945A/ko not_active Application Discontinuation
- 2009-09-02 JP JP2011527867A patent/JP5579721B2/ja not_active Expired - Fee Related
- 2009-09-02 CN CN2009801365927A patent/CN102160160A/zh active Pending
- 2009-09-11 TW TW098130788A patent/TWI487029B/zh active
Also Published As
Publication number | Publication date |
---|---|
EP2338166A4 (en) | 2012-11-14 |
JP2012503336A (ja) | 2012-02-02 |
TW201023268A (en) | 2010-06-16 |
TWI487029B (zh) | 2015-06-01 |
EP2338166A2 (en) | 2011-06-29 |
US20100075499A1 (en) | 2010-03-25 |
CN102160160A (zh) | 2011-08-17 |
WO2010033378A3 (en) | 2010-06-17 |
KR20110076945A (ko) | 2011-07-06 |
WO2010033378A2 (en) | 2010-03-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5579721B2 (ja) | 金属シリサイド形成のための方法および装置 | |
US7867900B2 (en) | Aluminum contact integration on cobalt silicide junction | |
TW569322B (en) | Semiconductor device having a low-resistance gate electrode | |
US6156654A (en) | Pulsed laser salicidation for fabrication of ultra-thin silicides in sub-quarter micron devices | |
US7687398B2 (en) | Technique for forming nickel silicide by depositing nickel from a gaseous precursor | |
JP2006516174A (ja) | 半導体プロセスにシリサイドコンタクトを使用する方法 | |
US6933021B2 (en) | Method of TiSiN deposition using a chemical vapor deposition (CVD) process | |
KR20170104936A (ko) | 금속 규화물들의 선택적 형성 | |
US10504779B2 (en) | Hydrogenation and nitridization processes for reducing oxygen content in a film | |
US7485572B2 (en) | Method for improved formation of cobalt silicide contacts in semiconductor devices | |
US6521501B1 (en) | Method of forming a CMOS transistor having ultra shallow source and drain regions | |
US6432805B1 (en) | Co-deposition of nitrogen and metal for metal silicide formation | |
TWI787702B (zh) | 使用pvd釕的方法與裝置 | |
US20110272279A1 (en) | Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same | |
US6254739B1 (en) | Pre-treatment for salicide process | |
US20030186523A1 (en) | Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit | |
US6376373B1 (en) | Method of manufacturing a semiconductor device | |
TW202009975A (zh) | 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備 | |
US6387767B1 (en) | Nitrogen-rich silicon nitride sidewall spacer deposition | |
US6372673B1 (en) | Silicon-starved nitride spacer deposition | |
US6800553B2 (en) | Method for manufacturing a silicide layer of semiconductor device | |
KR101536174B1 (ko) | 산소 확산을 억제할 수 있는 반도체 소자 제조 방법 | |
US6156632A (en) | Method of forming polycide structures | |
US20040222083A1 (en) | Pre-treatment for salicide process | |
KR20020001380A (ko) | 반도체 소자의 게이트 전극 형성 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120829 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20131129 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20131203 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140227 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140306 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140513 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20140610 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20140709 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5579721 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
LAPS | Cancellation because of no payment of annual fees |