JP5579721B2 - 金属シリサイド形成のための方法および装置 - Google Patents

金属シリサイド形成のための方法および装置 Download PDF

Info

Publication number
JP5579721B2
JP5579721B2 JP2011527867A JP2011527867A JP5579721B2 JP 5579721 B2 JP5579721 B2 JP 5579721B2 JP 2011527867 A JP2011527867 A JP 2011527867A JP 2011527867 A JP2011527867 A JP 2011527867A JP 5579721 B2 JP5579721 B2 JP 5579721B2
Authority
JP
Japan
Prior art keywords
substrate
layer
depositing
titanium
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011527867A
Other languages
English (en)
Other versions
JP2012503336A (ja
Inventor
クリストファー エス. オルセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012503336A publication Critical patent/JP2012503336A/ja
Application granted granted Critical
Publication of JP5579721B2 publication Critical patent/JP5579721B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • H01L29/66856Unipolar field-effect transistors with a Schottky gate, i.e. MESFET with an active layer made of a group 13/15 material
    • H01L29/66863Lateral single gate transistors
    • H01L29/66878Processes wherein the final gate is made before the formation, e.g. activation anneal, of the source and drain regions in the active layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明の実施形態は一般に、半導体および他の電子デバイスの製作にならびに基板上に金属シリサイド材料を形成するための方法に関する。
集積回路は、多くの、例えば何百万ものトランジスタ、コンデンサ、および抵抗器などのデバイスから成る。電界効果トランジスタなどのトランジスタは典型的には、ソース、ドレイン、およびゲートスタックを包含する。ゲートスタックは典型的には、シリコン基板などの基板、基板上の二酸化シリコン(SiO)などのゲート誘電体、およびゲート誘電体上の多結晶シリコンなどのゲート電極を包含する。
集積回路デバイス形状は、そのようなデバイスが数十年前に初めて導入されて以来サイズが劇的に減少し、現在もサイズが継続的に減少している。タングステンで作られた金属ゲートは、これらのより小さいデバイスの抵抗要件のために重要になっている。タングステンは、それが広く利用可能であり、他の導電性材料と比較してより低い抵抗率およびより低い接触抵抗を有するので、望ましい材料である。
しかしながら、金属ゲートにタングステンを使用することの1つの障害は、障壁層が典型的には、ケイ化タングステンの形成を防止するためにシリコンとタングステンとの間に必要とされることである。ケイ化タングステンは、タングステンと比べてより高い抵抗率を有し、それ故にゲートの全体的な抵抗を増加させる。金属窒化物などの障壁層が、使用されてきたが、しかし金属窒化物層のシリコンゲートとの反応に起因して、追加の金属層が、金属窒化物層とシリコンゲートとの間に置かれる。金属層は、シリコンゲートと反応して、金属シリサイドを形成する。しかしながら、金属窒化物層からの窒素はなお、シリコンゲートと反応して、誘電体であり、ゲートスタックの全体的な界面抵抗を増加させる窒化シリコンを形成する。
したがって、ゲートスタックの低減した界面抵抗を提供するケイ化チタン層を形成するための新しい方法の必要性がある。
本明細書で述べられる実施形態は、無拡散アニールプロセスを使用して金属シリサイド層を形成する方法を包含する。無拡散アニールプロセスの短い時間枠は、窒素がシリコン含有界面に拡散して窒化シリコンを形成する時間を低減し、それ故に界面抵抗を最小限にする。短い時間枠はまた、反応物のグレイン下方への拡散を包含するすべての拡散プロセスを最小限にすることによって極めて滑らかなシリサイド層も生成する。
一実施形態では、基板上に金属シリサイド材料を形成するための方法が、提供される。その方法は、基板のシリコン含有表面を覆って金属材料を堆積させるステップと、金属材料を覆って金属窒化物材料を堆積させるステップと、金属窒化物材料を覆って金属接点材料を堆積させるステップと、基板を無拡散アニールプロセスにさらして、金属シリサイド材料を形成するステップとを含む。
別の実施形態では、基板を覆って金属シリサイド材料を形成するための方法が、提供される。その方法は、基板のシリコン含有表面を覆ってチタン材料を堆積させるステップと、金属材料を覆って窒化チタン材料を堆積させるステップと、窒化チタン材料を覆ってタングステン接点材料を堆積させるステップと、基板を無拡散アニールプロセスにさらして、ケイ化チタン材料を形成するステップとを含む。
なお別の実施形態では、基板を覆って金属シリサイド材料を形成するための方法が、提供される。その方法は、ゲートスタック電極を形成するステップと、ゲートスタック電極を無拡散アニールプロセスでアニールして、金属シリサイド層を形成するステップとを含む。ゲートスタック電極は、基板を覆ってポリシリコン層を堆積させるステップと、基板を覆って第1の金属層を堆積させるステップと、基板を覆って金属窒化物材料を堆積させるステップと、基板を覆って第2の金属材料を堆積させるステップとによって形成される。
本発明の上で列挙された特徴が、詳細に理解できるように、上で簡潔に要約された本発明のより詳しい記述が、実施形態の参照によりなされてもよく、その実施形態のいくつかは、添付の図面で例示される。しかしながら、本発明は、他の同等に効果的な実施形態を認めてもよいので、添付の図面は、この発明の典型的な実施形態だけを例示し、したがって本発明の範囲を限定すると考えられるべきでないことに留意すべきである。
本明細書で述べられる実施形態による統合されたマルチチャンバ装置の概略上面図を例示する図である。 本明細書で述べられる一実施形態による無拡散アニールプロセスを使用する金属シリサイド材料の形成のためのプロセス順序を例示する図である。 本明細書で述べられる別の実施形態による無拡散アニールプロセスを使用する金属シリサイド材料の形成のためのプロセス順序を例示する図である。 本明細書で述べられるなお別の実施形態による無拡散アニールプロセスを使用する金属シリサイド材料の形成のためのプロセス順序を例示する図である。 本明細書で述べられる実施形態に従って形成される金属シリサイド材料を利用する例となるゲート酸化物デバイスの横断面図を示す図である。
理解を容易にするために、同一の参照数字が、図に共通する同一の要素を指定するために、可能であれば使用された。一実施形態で開示される要素は、明確な列挙なしに他の実施形態で有益に利用されてもよいと熟考される。
約30オングストローム以下などの50オングストローム未満の厚さを有するケイ化チタン層(TiSi)は、本明細書で述べられる無拡散アニールプロセスの実施形態を使用して形成される。無拡散アニールプロセスの短い時間枠は、窒素がシリコン含有界面に拡散して窒化シリコンを形成する時間を低減し、それ故に界面抵抗を最小限にする。短い時間枠はまた、反応物のポリSiグレイン下方への拡散を包含するすべての拡散プロセスを最小限にすることによって極めて滑らかなシリサイド層も生成する。ケイ化チタン層は、約100μΩ−cm以下の抵抗率を有し、例えばデバイス抵抗を著しく増加させることなく、DRAMかまたはコンデンサの電極などのさまざまなデバイス応用のための優れた抵抗特性を提供する。
無拡散アニール方法またはプロセスは、ドーパントを周囲の層中に実質的に拡散させず、ドーパントを半導体層の意図した部分に保つそれらのアニールプロセスのことである。無拡散アニールプロセスは、例えば10ミリ秒未満の短いドウェル時間を有してもよく、その短いドウェル時間は、周囲の層中へのドーパントの拡散を最小限にする(いくつかの場合には、2.5nm未満の拡散)。無拡散アニールプロセスは、ミリ秒アニールプロセス、ナノ秒アニールプロセス、およびマイクロ秒アニールプロセスなどのレーザアニールプロセスならびにキセノンフラッシュランプアニールプロセスを包含するフラッシュランプアニールプロセスを包含してもよい。
レーザアニール方法またはプロセスは、基板の表面(複数可)をアニールするために使用されてきたそれらのアニールプロセスのことである。一般に、これらのプロセスは、基板が小さな領域に配送されるエネルギーに対して平行移動されるまたは走査される間に、基板の表面の小さな領域に一定のエネルギー束を配送する。シリコン含有基板で行われるレーザアニールプロセスについては、放射の波長は、典型的には約800nm未満であり、深紫外線(UV)、赤外線(IR)または他の望ましい波長で配送できる。一実施形態では、エネルギー源は、約500nmと約11マイクロメータとの間の波長で放射を配送するように構成されるレーザなどの強い光源であってもよい。大部分の実施形態では、アニールプロセスは一般に、約1秒以下の程度などの比較的短時間にわたって基板の所与の領域について行われる。一実施形態では、レーザアニールプロセスは、基板温度を約1150〜1350℃の間に約1秒だけにわたって上昇させて、基板の損傷を除去し、所望のドーパント分布を達成する。
レーザアニール方法またはプロセスは、パルスレーザアニールプロセスを包含する。パルスレーザアニールプロセスは、基板の表面の有限領域をアニールして、基板の表面の明瞭に定義されたアニールおよび/または再融解領域を提供するために使用されてもよい。一般に、パルスレーザアニールプロセスの間に、基板の表面のさまざまな領域は、レーザから配送される所望量のエネルギーにさらされて、基板の所望の領域の好ましい加熱をもたらす。基板のさらされる領域の重なりは典型的には、ダイ間の未使用スペースまたは「切り口」線に限定されるから、基板の所望の領域を横断する均一なアニールを確実にするために隣接走査領域間の重なりを厳重に制御する必要性は、問題ではないので、パルスレーザアニール方法およびプロセスは、基板の表面を横断してレーザエネルギーを掃引する他のプロセスに優る利点を有する。
フラッシュランプアニール方法およびプロセスは、基板上にパルス照射するための可視光エネルギーを発生させるために使用されてもよい。一態様では、エネルギー源からのエネルギーのパルスは、アニール領域に配送されるエネルギー量および/またはパルス周期にわたって配送されるエネルギー量が、所望エリアの目標とするアニールを行うために最適化されるように合わせられる。一態様では、レーザの波長は、放射のかなりの部分が基板上に配置されるシリコン層によって吸収されるように同調される。
一態様では、ケイ化チタン材料などの金属シリサイド層は、シリコン材料およびチタン材料を無拡散アニールプロセスにさらすことによって基板表面上に形成される。無拡散アニールプロセスは、金属層からの窒素がシリコン含有界面に拡散して窒化シリコンを形成することがないようなプロセス条件のもとで行われる。一実施形態では、無拡散アニールプロセスは、約900℃と約1200℃との間、例えば約1000℃などの、約800℃と約1300℃との間の温度で金属シリサイド層を形成する。一実施形態では、無拡散アニールプロセスは、5ミリ秒未満、例えば1ミリ秒未満などの、10ミリ秒未満にわたって行われる。一実施形態では、無拡散アニールプロセスは、0.25から1ミリ秒のドウェル時間にわたって約3×10W/cmから約1×10W/cmの電力密度の印加を包含するレーザアニールプロセスであってもよい。レーザ走査速度は、これらのミリ秒ドウェル時間を達成するために25mm/秒から250mm/秒に及んでもよい。
本明細書で述べられるような「基板表面」は、膜処理が行われる任意の基板表面のことである。例えば、基板表面は、応用に応じて、シリコン、酸化シリコン、ドープシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、ならびに金属、金属合金、および他の導電性材料などの任意の他の材料を包含してもよい。基板表面はまた、二酸化シリコンおよび炭素ドープ酸化シリコンなどの誘電体材料を包含してもよい。
基板上に材料を堆積させ、形成するための処理システムは、少なくとも1つの堆積チャンバおよび少なくとも1つのアニールチャンバを含有してもよい。一般に、そのシステムは、少なくとも1つの物理気相堆積チャンバ(PVD)および/または少なくとも1つの無拡散アニールチャンバを含有する。他のチャンバは、例えば化学気相堆積(CVD)チャンバ、原子層堆積(ALD)チャンバ、および前洗浄チャンバを包含してもよい。一実施形態では、金属材料が、シリコン含有材料上に堆積され、オプションの金属窒化物障壁層が、堆積されてもよく、金属接点材料が、基板上に堆積される。基板は、堆積プロセスのいずれかより前に、その間に、および/またはその後に少なくとも1つの無拡散アニールプロセスにさらされて、金属シリサイド層を形成する。別の実施形態では、チタン材料が、ポリシリコン材料上に堆積され、オプションの窒化チタン障壁層が、チタン材料上に堆積されてもよく、タングステン接点材料が、基板上に堆積される。基板は、堆積プロセスのいずれかより前に、その間に、および/またはその後に少なくとも1つの無拡散アニールプロセスにさらされて、ケイ化チタン層を形成する。
図1は、本明細書で述べられる堆積およびアニールプロセスの少なくとも1つの実施形態を行うのに適している統合されたマルチチャンバ基板処理システムを示す。堆積およびアニールプロセスは、それに配置される少なくとも1つのPVDチャンバおよび少なくとも1つの無拡散アニールチャンバを有するマルチチャンバ処理システムまたはクラスタツールで行われてもよい。本明細書で述べられるプロセスの間に使用されてもよい処理プラットフォームは、Santa Clara、Californiaに位置するApplied Materials、Inc.から市販されているENDURA(登録商標)処理プラットフォームである。他の製造業者からの他のシステムもまた、本明細書で述べられるプロセスを行うために使用されてもよい。
図1は、2つの移送チャンバ48、50、移送チャンバ48、50内に丁重に配置された移送ロボット49、51、ならびに2つの移送チャンバ48、50に配置された複数の処理チャンバ36、38、40、41、42および43を包含する処理プラットフォームシステム35の一実施形態の概略上面図である。第1の移送チャンバ48および第2の移送チャンバ50は、パススルーチャンバ52によって分離され、そのパススルーチャンバは、冷却または予熱チャンバを含んでもよい。パススルーチャンバ52はまた、第1の移送チャンバ48および第2の移送チャンバ50が異なる圧力で動作するとき、基板取扱いの間ポンプで排気されるまたは空気を通されてもよい。例えば、第1の移送チャンバ48は、約400mTorrなどの、約100mTorrから約5Torrの範囲内の圧力で動作してもよく、第2のチャンバ50は、約1×10−7Torrなどの、約1×10−5Torrから約1×10−8Torrの範囲内の圧力で動作してもよい。処理プラットフォームシステム35は、マイクロプロセッサコントローラ54をプログラムすることによって自動化される。
第1の移送チャンバ48は、2つの脱気チャンバ44、2つのロードロックチャンバ46、反応性前洗浄チャンバ42およびALD処理チャンバまたはPVDチャンバなどのチャンバ36、ならびにパススルーチャンバ52と結合される。前洗浄チャンバ42は、Santa Clara、CaliforniaのApplied Materials、Inc.から市販されているPreClean IIチャンバであってもよい。基板(図示されず)は、ロードロックチャンバ46を通じて処理プラットフォームシステム35中にロードされる。その後、基板は、脱気チャンバ44および前洗浄チャンバ42でそれぞれ連続して脱気され、洗浄される。移送ロボット49は、基板を脱気チャンバ44と前洗浄チャンバ42との間で移動させる。
第2の移送チャンバ50は、処理チャンバ38、40、41、および43のクラスタに結合される。一例では、チャンバ38および40は、操作者が望むように、チタン、窒化チタン、またはタングステンなどの材料を堆積させるためのPVDチャンバであってもよい。別の例では、PVDチャンバは、Santa Clara、Californiaに位置するApplied Materials、Inc.から市販されているCENTURA(登録商標)処理プラットフォームなどの別個のプラットフォームに置かれてもよい。別の例では、チャンバ38および40は、操作者が望むように、タングステンなどの材料を堆積させるためのCVDチャンバであってもよい。適切なPVDチャンバの例は、Santa Clara、Californiaに位置するApplied Materials、Inc.から市販されているSIP(Self Ionized Plasma)およびALPS(Advanced Low Pressure Source)チャンバを包含する。チャンバ41および43は、極めて高速で基板をアニールできる無拡散アニールチャンバであってもよい。別の例では、無拡散アニールチャンバは、Santa Clara、Californiaに位置するApplied Materials、Inc.から市販されているVantage処理プラットフォームなどの別個のプラットフォームに置かれてもよい。無拡散アニールチャンバの例は、Applied Materials、Inc.、Santa Clara、Californiaから市販されている動的表面アニール(DSA)プラットフォームまたはフラッシュランプアニールチャンバである。別法として、チャンバ41および43は、低圧CVD堆積を行う能力がある低圧CVD(LPCVD)堆積Polygenチャンバであってもよい。PVD処理基板は、パススルーチャンバ52を介して移送チャンバ48から移送チャンバ50中に移動される。その後、移送ロボット51は、処理に必要とされるような材料堆積およびアニールのために、基板を処理チャンバ38、40、41、および43の1つまたは複数の間で移動させる。
RTA(Rapid Thermal Annealing)チャンバなどの追加のアニールチャンバおよび/または無拡散アニールチャンバがまた、処理プラットフォームシステム35の第1の移送チャンバ48に配置されて、処理プラットフォームシステム35からの基板除去または第2の移送チャンバ50への移送より前に堆積後アニールプロセスを提供してもよい。
図示されないが、複数の真空ポンプが、それぞれのチャンバの圧力を独立して調節するために各移送チャンバおよび処理チャンバの各々と流体連通して配置される。ポンプは、ロードロックチャンバから処理チャンバへと装置を横断して圧力が増加する真空勾配を構築してもよい。
別法として、Santa Clara、CaliforniaのApplied Materials、Inc.から入手できるDPS(登録商標)チャンバなどの、プラズマエッチングチャンバまたは減結合プラズマ源チャンバが、PVD金属堆積および/または堆積金属のアニールの後に未反応金属を除去するために処理プラットフォームシステム35に結合されるまたは基板表面をエッチングするための別個の処理システムにあってもよい。
図1を参照すると、処理チャンバ36、38、40,41、42および43は、マイクロプロセッサコントローラ54によって各々制御される。マイクロプロセッサコントローラ54は、処理チャンバを制御するために工業環境で使用できる任意の形の汎用コンピュータプロセッサ(CPU)の1つならびにサブプロセッサであってもよい。コンピュータは、ランダムアクセスメモリ、読み出し専用メモリ、フロッピーディスクドライブ、ハードドライブ、または任意の他の形のデジタル記憶装置などの、ローカルまたはリモートの任意の適切なメモリを使用してもよい。さまざまな支援回路が、従来の方法でプロセッサを支援するためにCPUに結合されてもよい。必要とされるようなソフトウェアルーチンは、メモリに保存されるまたは遠く離れて置かれる第2のCPUによって実行されてもよい。
ソフトウェアルーチンは、プロセスレシピまたは順序を開始するために実行される。ソフトウェアルーチンは、実行されると、汎用コンピュータをチャンバプロセスが行われるようにチャンバ動作を制御する特定プロセスコンピュータに変換する。別法として、ソフトウェアルーチンは、特定用途向け集積回路もしくは他の種類のハードウェア実装のようなハードウェアで、またはソフトウェアおよびハードウェアの組合せで行われてもよい。
金属シリサイド形成
図2は、本明細書で述べられる一実施形態による無拡散アニールプロセスを使用する金属材料の形成のためのプロセス順序200を例示する。ステップ202で示されるように、基板は、例えばPVDプロセスチャンバ38などのプロセスチャンバに提供される。温度および圧力などのプロセスチャンバ条件は、基板への金属の堆積を強化するように調整される。
一実施形態では、基板154は、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化シリコン、歪みシリコン、シリコンゲルマニウム、ドープまたは非ドープポリシリコン、ドープまたは非ドープシリコンウエハおよびパターン化または非パターン化ウエハシリコンオンインシュレータ(SOI)、ドープシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、ならびにサファイアなどの材料であってもよい。基板202は、200mmまたは300mm直径ウエハなどのさまざまな寸法、ならびに長方形または正方形のペインを有してもよい。特に断りのない限り、本明細書で述べられる実施形態および例は、200mm直径または300mm直径の基板について実施される。一実施形態では、基板は、基板を覆って配置されるゲート誘電体層上に形成されるポリシリコンゲート電極を有してもよい。
ステップ202の後、障壁層として機能してもよい第1の金属層が、ステップ204で基板のシリコン含有表面を覆って堆積される。第2の金属層のための障壁層が、堆積され、アニールされて、真空を破ることなく金属シリサイド層を形成してもよいように、第1の金属層は、チャンバ38に配置される基板154上に堆積されてもよい。基板154は、その上に配置されるシリコンまたは酸化シリコン材料などの誘電体材料を包含してもよく、特徴を規定するようにパターン化されてもよく、その特徴中には金属膜が、堆積されてもよくまたは金属シリサイド膜が、形成されることになる。第1の金属層は、物理気相堆積(PVD)技術、CVD技術、または原子層堆積技術によって堆積されてもよい。金属層の適切な例は、タングステン(W)、チタン(Ti)、ハフニウム(Hf)、コバルト(Co)、ニッケル(Ni)、それらの合金、またはそれらの任意の組合せを包含する。
PVDプロセスでは、金属は、PVDチャンバ38を使用して堆積される。堆積させるべきチタンなどの材料のターゲットは、チャンバの上部に配置される。基板154は、チャンバ38に提供され、基板支持台上に配置される。処理ガスは、約5sccmと約30sccmとの間の流量でチャンバ38中に導入される。チャンバ圧力は、共形PVD金属層の堆積を促進するために約5mTorrより下に維持される。好ましくは、約0.2mTorrと約2mTorrとの間のチャンバ圧力が、堆積の間使用されてもよい。より好ましくは、約0.2mTorrと約1.0mTorrとの間のチャンバ圧力が、基板上へチタンをスパッタするのに十分であると観察された。
プラズマは、約0ボルト(V)と約−2,400Vとの間の負電圧をターゲットに印加することによって発生される。例えば、負電圧は、約0Vと約−1,000Vとの間でターゲットに印加されて、200mm基板上に材料をスパッタする。約0Vと約−700Vとの間の負電圧が、基板表面へのスパッタ材料の方向性を改善するために基板支持台に印加されてもよい。基板154は、堆積プロセスの間は約10℃から約500℃の範囲内の温度に維持される。
金属堆積プロセスの例は、アルゴンなどの不活性ガスを約5sccmと約30sccmとの間の流量でチャンバ38中に導入するステップと、チャンバ圧力を約0.2mTorrと約1.0mTorrとの間に維持するステップと、約0ボルトと約1,000ボルトとの間の負バイアスをターゲットに印加して、ガスをプラズマ状態に励起するステップと、スパッタプロセスの間は基板154を約10℃から約500℃の範囲内、好ましくは約50℃および約200℃、より好ましくは約50℃と約100℃との間の温度に維持するステップと、200mm基板についてはターゲットを基板表面から約100mmと約300mmとの間に間隔をあけるステップとを包含する。チタンは、このプロセスを使用して約300Å/minと約2,000Å/minとの間の速度でシリコン材料上に堆積されてもよい。一実施形態では、第1の金属層は、約20Åと約100Åとの間の厚さを有してもよい。コリメータが、堆積速度への悪影響を最小にしながら本明細書で述べられるプロセスで使用されてもよい。
図示されないが、第1の金属層は、図1で示される装置を使用する別の方法によって堆積されてもよい。チタン材料は、CVD技術、ALD技術、イオン化磁気プラズマPVD(IMP−PVD)技術、自己イオン化プラズマPVD(SIP−PVD)技術、無電解析出プロセス、またはそれらの組合せによって堆積されてもよい。例えば、チタン材料は、図1で示されるような処理プラットフォームシステム35のチャンバ41などのCVDチャンバでCVDによって、または図1で示されるように位置41に配置されるALDチャンバもしくはCVDチャンバでALDによって堆積されてもよい。基板は、真空を破るまたは基板を他の外的環境条件にさらすことなく処理プラットフォームシステム35内のさまざまなチャンバ間で移送されてもよい。
ステップ206では、タングステンなどの第2の金属の堆積より前に、チタンまたは窒化チタンなどの障壁材料の層が、第1の金属層上に堆積されてもよい。障壁材料の層は、下にある基板またはシリコン材料中への第2の金属層の層間拡散への耐性を改善する。加えて、障壁材料の層は、第1の金属層と第2の金属層との間の層間接着を改善することもある。適切な障壁層材料は、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、窒化タングステン、チタン−タングステン合金、それらの派生物、およびそれらの組合せを包含する。例えば、窒化タングステンが、窒化チタン上に堆積されてもよい。障壁材料の層は、CVD技術、ALD技術、IMP−PVD技術、SIP−PVD技術、またはそれらの組合せによって堆積されてもよい。
一実施形態では、金属窒化物材料は、窒化チタン材料である。別の実施形態では、金属窒化物材料は、窒化タングステン材料である。金属窒化物材料は、金属層の形成の間に窒素ガスを処理チャンバ中に流すことによって形成されてもよい。一実施形態では、処理ガスは、10%と30%との間の窒素ガス、例えば20%の窒素ガスを含んでもよい。一実施形態では、窒素ガスは、10sccmと30sccmとの間などの、5sccm(標準立方センチメートル毎分)と50sccmとの間の適正な流量で提供されてもよい。基板は、約1torrと約5torrとの間のチャンバ圧力で約50℃と約500℃との間の温度に維持される。一実施形態では、金属窒化物材料は、約2nmと約10nmとの間の厚さを有してもよい。
金属窒化物層は、第1の金属層と同じチャンバで堆積されてもよい。例えば、もし第1の金属層が、PVDプロセスによって堆積されるチタン層であるならば、金属窒化物層は、チタン層を堆積させながら同じチャンバ中に窒素含有ガスを流すことによって形成されてもよい。
金属接点材料堆積プロセス
ステップ208において、金属接点材料または第2の金属層が、金属窒化物材料を覆って堆積される。一実施形態では、金属接点材料は、タングステン材料を含む。従来のCVD、ALD、またはPVDなどの任意の金属堆積プロセスが、金属接点材料を堆積させるために使用されてもよい。
金属接点材料を堆積させる1つの例となるプロセスは、物理気相堆積を包含する。PVDプロセスでは、金属は、PVDチャンバ40を使用して堆積されてもよい。堆積させるべきタングステンなどの材料のターゲットは、チャンバの上部に配置される。基板154は、チャンバ40に提供され、基板支持台上に配置される。処理ガスは、約5sccmと約30sccmとの間の流量でチャンバ40中に導入される。チャンバ圧力は、共形PVD金属層の堆積を促進するために約5mTorrより下に維持される。好ましくは、約0.2mTorrと約2mTorrとの間のチャンバ圧力が、堆積の間使用されてもよい。より好ましくは、約0.2mTorrと約1.0mTorrとの間のチャンバ圧力が、基板上へタングステンをスパッタするのに十分であると観察された。
プラズマは、約0ボルト(V)と約−2,400Vとの間の負電圧をターゲットに印加することによって発生される。例えば、負電圧は、約0Vと約−1,000Vとの間でターゲットに印加されて、200mm基板上に材料をスパッタする。約0Vと約−700Vとの間の負電圧が、基板表面へのスパッタ材料の方向性を改善するために基板支持台に印加されてもよい。基板154は、堆積プロセスの間は約10℃から約500℃の範囲内の温度に維持される。
堆積プロセスの例は、アルゴンなどの不活性ガスを約5sccmと約30sccmとの間の流量でチャンバ40中に導入するステップと、チャンバ圧力を約0.2mTorrと約1.0mTorrとの間に維持するステップと、約0ボルトと約1,000ボルトとの間の負バイアスをターゲットに印加して、ガスをプラズマ状態に励起するステップと、スパッタプロセスの間は基板154を約10℃から約600℃の範囲内、好ましくは約50℃および約300℃、より好ましくは約50℃と約100℃との間の温度に維持するステップと、200mm基板についてはターゲットを基板表面から約100mmと約300mmとの間に間隔をあけるステップとを包含する。タングステンは、このプロセスを使用して約300Å/minと約2,000Å/minとの間の速度でシリコン材料上に堆積されてもよい。一実施形態では、第2の金属層は、約200Åと約1000Åとの間の厚さを有してもよい。コリメータが、堆積速度への悪影響を最小にしながら本明細書で述べられるプロセスで使用されてもよい。
金属シリサイド形成プロセス
ステップ210において、基板は、無拡散アニールプロセスにさらされて、金属シリサイド材料を形成する。シリサイド化プロセスは、基板のシリコン含有表面を覆って堆積された金属層を金属シリサイド層に転換する。一実施形態では、金属シリサイド材料は、ケイ化チタン材料である。一実施形態では、無拡散アニールは、ミリ秒レーザアニールなどのレーザアニールを含む。別の実施形態では、無拡散アニールは、例えばキセノンフラッシュランプを使用するフラッシュランプアニールを含む。
金属シリサイド層を形成するための1つの例となるプロセスは、動的表面アニール(DSA)プロセスなどのレーザアニールプロセスに基板をさらすステップを包含する。レーザアニールプロセスは、基板の増分部分を約800℃と約1300℃との間の温度に短い継続期間にわたって加熱するエネルギービームで基板を走査することによって行われてもよい。エネルギービームによって加熱される部分は、1ミリ秒未満などの10ミリ秒未満にわたって上昇した温度に維持される。DSAプロセスに適した1つのチャンバは、Applied Materials、Inc.から入手できるDSAプラットフォームである。他の製造業者からのそれらを包含する他のDSAプラットフォームが、レーザアニールプロセスを行うために利用されてもよいと熟考される。
ステップ210でのDSAプロセスは、基板を所定の高温で加熱し、活性化してもよい。一実施形態では、DSAプロセスは、約900℃と約1200℃との間、例えば約1000℃などの、約800℃と約1300℃との間の温度で金属シリサイド化層を形成する。基板は、さまざまな継続時間にわたってレーザにさらされる。一実施形態では、DSAプロセスは、5ミリ秒未満、例えば1ミリ秒未満などの、10ミリ秒未満にわたって行われる。一実施形態では、レーザは、約0.1ミリ秒と約1ミリ秒との間の時間間隔にわたってパルス照射される。一実施形態では、レーザは、約10.6μmまたは約0.88μmに選択される波長の光を放出するが、他の波長が、利用されてもよい。DSAプロセスは、Applied Materials、Inc.から入手できるDSAプラットフォームで行われてもよい。動的表面アニールプロセスおよびプラットフォームの1つの例となる実施形態は、参照によりそれの全体が本明細書に組み込まれる、Jenningsおよびその他の「APPARATUSES FOR THERMAL PROCESSING STRUCTURES FORMED ON A SUBSTRATE」という名称の米国特許出願公開第2007/0221640号で述べられる。
金属シリサイド層を形成するための別の例となるプロセスは、キセノンフラッシュランプRTPプロセスなどのフラッシュランプRTPプロセスに基板をさらすステップを包含する。フラッシュRTPプロセスは、(1)中間温度への基板の急速な加熱、および(2)基板が中間温度に加熱されると同時に最終温度への基板の非常に急速な加熱を包含する。最終温度は、中間温度よりも高く、第2のステップの継続時間は、第1のステップの第1の継続時間未満である。例として、フラッシュRTPプロセスの第1のステップは、約500℃から約900℃の範囲の中間温度範囲に約0.1秒から約10秒の時間範囲にわたって基板を加熱するステップを包含してもよい。第2のステップは、約1000℃から約1300℃の範囲の最終温度に、好ましくは約0.1ミリ秒から10ミリ秒の範囲で、好ましくは約0.1から約2ミリ秒の範囲の時間にわたってドープ表面層を加熱するステップを包含してもよい。
図3は、本明細書で述べられる別の実施形態による無拡散アニールを使用する金属シリサイド材料の形成のためのプロセス順序300を例示する。その順序は、基板を処理チャンバ中にロードするステップ(ステップ302)と、基板のシリコン含有表面を覆って金属層を堆積させるステップ(ステップ304)と、金属材料を覆って金属窒化物材料を堆積させるステップ(ステップ306)と、基板を無拡散アニールプロセスにさらして、金属シリサイド材料を形成するステップ(ステップ308)と、金属窒化物材料を覆って金属接点材料を堆積させるステップ(ステップ310)とを包含する。
図4は、本明細書で述べられるなお別の実施形態による無拡散アニールプロセスを使用する金属シリサイド材料の形成のためのプロセス順序400を例示する。その順序は、基板を処理チャンバ中にロードするステップ(ステップ402)と、基板のシリコン含有表面を覆って金属層を堆積させるステップ(ステップ404)と、基板を無拡散アニールプロセスにさらして、金属シリサイド材料を形成するステップ(ステップ406)と、金属材料を覆って金属窒化物材料を堆積させるステップ(ステップ408)と、金属窒化物材料を覆って金属接点材料を堆積させるステップ(ステップ410)とを包含する。
オプションとして、基板上への金属堆積より前に、基板の表面は、汚染物質を除去するために洗浄されてもよい。洗浄プロセスは、フッ化水素酸溶液にさらすなどの湿式エッチングプロセスによって、または不活性ガス、水素もしくはアンモニアなどの還元ガス、もしくはそれらの組合せのプラズマにさらすなどのプラズマ洗浄プロセスによって行われてもよい。洗浄プロセスはまた、処理の間の基板表面の汚染を最小限にするために処理ステップ間に行われてもよい。プラズマ洗浄プロセスは、本明細書で述べられるPreClean II処理チャンバおよびRPC処理チャンバで行われてもよく、その両方の処理チャンバは、Santa Clara CaliforniaのApplied Materials、Inc.から市販されている。
図5は、本明細書で述べられる実施形態に従って形成される金属シリサイド材料を利用する例となるゲート酸化物デバイスの横断面図を示す。そのデバイスは一般に、スペーサ516によって囲まれる露出ゲート510および基板表面512内に形成されるシリコンソース/ドレインエリア520を包含する。スペーサ516は典型的には、SiOなどの酸化物から成る。
金属ゲート510は、酸化物層511、ポリシリコン層514、ケイ化チタン層515、窒化チタン層518、およびタングステン層522を包含する。ケイ化チタン層515は、図2〜4を参照して上で述べられた実施形態を使用して形成される。例えばSiO層などの酸化物層511は、基板512をポリシリコン層514から分離する。酸化物層511およびポリシリコン層514は、従来の堆積技術を使用して堆積される。
チタン材料が、基板上に配置されたポリシリコン材料を覆って堆積され、窒化チタン材料が、チタン材料を覆って堆積され、タングステン材料が、窒化チタン材料を覆って堆積される。基板は、無拡散アニールで処理されて、ポリシリコン材料と窒化チタン材料との間に二ケイ化チタン(TiSi)を形成する。オプションの前洗浄プロセスが、処理より前に基板について行われてもよい。チタン材料および窒化チタン材料は、第1の処理チャンバで堆積されてもよく、タングステン材料は、第2の処理チャンバで堆積されてもよく、ケイ化チタン材料は、第3の処理チャンバで形成されてもよい。
チタン材料が、基板上に配置されたポリシリコン材料を覆って堆積され、窒化チタン材料が、チタン材料を覆って堆積され、窒化タングステン材料が、窒化チタン材料を覆って堆積され、タングステン材料が、窒化タングステン材料を覆って堆積される。基板は、無拡散アニールで処理されて、ポリシリコン材料と窒化チタン材料との間に二ケイ化チタン(TiSi)を形成する。オプションの前洗浄プロセスが、処理より前に基板について行われてもよい。チタン材料および窒化チタン材料は、第1の処理チャンバで堆積されてもよく、窒化タングステンおよびタングステン材料は、第2の処理チャンバで堆積されてもよく、ケイ化チタン材料は、第3の処理チャンバで形成されてもよい。
本明細書で述べられる実施形態は、無拡散アニールを使用して金属シリサイド層を形成する方法を包含する。本明細書で述べられる実施形態はさらに、低減した界面抵抗のためのタングステン−ポリDRAM電極のミリ秒アニールのための方法を提供する。無拡散アニールの短い時間枠は、窒素がシリコン含有界面に拡散して窒化シリコンを形成する時間を低減し、それ故に界面抵抗を最小限にする。短い時間枠はまた、反応物のグレイン下方への拡散を包含するすべての拡散プロセスを最小限にすることによって極めて滑らかなシリサイド層も生成する。
先述のことは、本発明の実施形態を対象にするが、本発明の他のおよびさらなる実施形態が、本発明の基本的範囲から逸脱することなく考案されてもよく、本発明の範囲は、次にくる特許請求の範囲によって決定される。

Claims (14)

  1. 基板上に金属シリサイド材料を形成するための方法であって、
    基板のシリコン含有表面を覆って金属材料を堆積させるステップと、
    前記金属材料を覆って窒化チタン材料を堆積させるステップと、
    前記窒化チタン材料を覆って窒化タングステン材料を堆積させるステップと、
    前記窒化タングステン材料を覆って金属接点材料を堆積させるステップと、
    前記基板を無拡散アニールプロセスにさらして、金属シリサイド材料を形成するステップと
    を含む方法。
  2. 前記無拡散アニールプロセスは、レーザアニールプロセスまたはフラッシュランプアニールプロセスを含む、請求項1に記載の方法。
  3. 前記金属シリサイド材料は、前記窒化チタン材料と前記シリコン含有表面との間に形成される、請求項1に記載の方法。
  4. 前記無拡散アニールプロセスは、前記窒化チタンが前記シリコン含有表面層と反応しないようなプロセス条件を使用して行われる、請求項1に記載の方法。
  5. 前記基板を無拡散アニールプロセスにさらすステップは、前記基板を約900℃と約1100℃との間の温度にさらすステップを含む、請求項1に記載の方法。
  6. 前記無拡散アニールプロセスは、約10ミリ秒未満の時間間隔にわたって行われる、請求項1に記載の方法。
  7. 前記金属材料は、コバルト、チタン、タンタル、タングステン、モリブデン、白金、ニッケル、鉄、ニオブ、パラジウム、およびそれらの組合せを含む群から選択される、請求項1に記載の方法。
  8. 前記無拡散アニールプロセスは、約0.25から1ミリ秒の間のドウェル時間にわたって約3×10W/cmから約1×10W/cmの電力密度の印加を包含するレーザアニールプロセスである、請求項1に記載の方法。
  9. 前記レーザアニールプロセスのレーザ走査速度は、25mm/秒から250mm/秒の間である、請求項に記載の方法。
  10. 基板上に金属シリサイド材料を形成するための方法であって、
    ゲート電極スタックを形成するステップを含み、このゲート電極スタックを形成するステップが、
    前記基板を覆ってポリシリコン層を堆積させるステップと、
    前記ポリシリコン層を覆ってチタン層を堆積させるステップと、
    前記チタン層を覆って窒化チタン層を堆積させるステップと、
    前記窒化チタン層を覆って窒化タングステン層を堆積させるステップと、
    前記窒化タングステン層を覆ってタングステン層を堆積させるステップとを含む、ステップと、
    前記ゲート電極スタックを無拡散アニールプロセスでアニールして、ケイ化チタン層を形成するステップと
    を含む方法。
  11. 前記ゲート電極スタックをアニールする前記ステップは、前記チタン層を覆って窒化チタン層を堆積させた後に行われる、請求項10に記載の方法。
  12. 前記ゲート電極スタックをアニールする前記ステップは、前記窒化タングステン層を覆ってタングステン層を堆積させた後に行われる、請求項10に記載の方法。
  13. 前記無拡散アニールプロセスは、約0.25から1ミリ秒の間のドウェル時間にわたって約3×10W/cmから約1×10W/cmの電力密度の印加を包含するレーザアニールプロセスである、請求項10に記載の方法。
  14. 前記レーザアニールプロセスのレーザ走査速度は、25mm/秒から250mm/秒の間である、請求項13に記載の方法。
JP2011527867A 2008-09-19 2009-09-02 金属シリサイド形成のための方法および装置 Expired - Fee Related JP5579721B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/233,858 US20100075499A1 (en) 2008-09-19 2008-09-19 Method and apparatus for metal silicide formation
US12/233,858 2008-09-19
PCT/US2009/055672 WO2010033378A2 (en) 2008-09-19 2009-09-02 Method and apparatus for metal silicide formation

Publications (2)

Publication Number Publication Date
JP2012503336A JP2012503336A (ja) 2012-02-02
JP5579721B2 true JP5579721B2 (ja) 2014-08-27

Family

ID=42038103

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011527867A Expired - Fee Related JP5579721B2 (ja) 2008-09-19 2009-09-02 金属シリサイド形成のための方法および装置

Country Status (7)

Country Link
US (1) US20100075499A1 (ja)
EP (1) EP2338166A4 (ja)
JP (1) JP5579721B2 (ja)
KR (1) KR20110076945A (ja)
CN (1) CN102160160A (ja)
TW (1) TWI487029B (ja)
WO (1) WO2010033378A2 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8278200B2 (en) 2011-01-24 2012-10-02 International Business Machines Corpration Metal-semiconductor intermixed regions
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US20120313158A1 (en) * 2011-06-09 2012-12-13 Beijing Nmc Co., Ltd. Semiconductor structure and method for manufacturing the same
EP2783396B1 (en) * 2011-11-23 2017-01-11 Imec Method for forming metal silicide layers
US9190277B2 (en) 2011-12-08 2015-11-17 Texas Instruments Incorporated Combining ZTCR resistor with laser anneal for high performance PMOS transistor
US20130328135A1 (en) * 2012-06-12 2013-12-12 International Business Machines Corporation Preventing fully silicided formation in high-k metal gate processing
US20140273533A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Annealing Method Utilizing a Vacuum Environment
WO2015112327A1 (en) 2014-01-21 2015-07-30 Applied Materials, Inc. Dielectric-metal stack for 3d flash memory application
US9543167B2 (en) * 2014-07-15 2017-01-10 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
US9595524B2 (en) 2014-07-15 2017-03-14 Globalfoundries Inc. FinFET source-drain merged by silicide-based material
WO2017037339A1 (en) * 2015-09-02 2017-03-09 Beneq Oy Apparatus for processing a surface of substrate and method operating the apparatus
US9865466B2 (en) * 2015-09-25 2018-01-09 Applied Materials, Inc. Silicide phase control by confinement
TWI688004B (zh) * 2016-02-01 2020-03-11 美商瑪森科技公司 毫秒退火系統之預熱方法
JP6839940B2 (ja) * 2016-07-26 2021-03-10 株式会社Screenホールディングス 熱処理方法
US20180076065A1 (en) * 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP2019057682A (ja) * 2017-09-22 2019-04-11 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US10971366B2 (en) * 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition
CN111092017A (zh) * 2018-10-23 2020-05-01 宸鸿光电科技股份有限公司 一种薄膜元件的制造方法
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
CN111261634A (zh) * 2020-02-10 2020-06-09 无锡拍字节科技有限公司 一种存储器件的制造设备及其方法
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TWI748661B (zh) * 2020-09-24 2021-12-01 華邦電子股份有限公司 記憶元件及其形成方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JP2861869B2 (ja) * 1994-10-12 1999-02-24 日本電気株式会社 半導体装置の製造方法
JP2000036593A (ja) * 1998-07-17 2000-02-02 Fujitsu Ltd 半導体装置
US6156654A (en) * 1998-12-07 2000-12-05 Chartered Semiconductor Manufacturing Ltd. Pulsed laser salicidation for fabrication of ultra-thin silicides in sub-quarter micron devices
US20030141573A1 (en) * 2000-06-08 2003-07-31 Ross Matthew F. Electron beam annealing of metals, alloys, nitrides and silicides
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6806123B2 (en) * 2002-04-26 2004-10-19 Micron Technology, Inc. Methods of forming isolation regions associated with semiconductor constructions
JP2004247392A (ja) * 2003-02-12 2004-09-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US6902993B2 (en) * 2003-03-28 2005-06-07 Cypress Semiconductor Corporation Gate electrode for MOS transistors
US20050124127A1 (en) * 2003-12-04 2005-06-09 Tzu-En Ho Method for manufacturing gate structure for use in semiconductor device
US7879409B2 (en) * 2004-07-23 2011-02-01 Applied Materials, Inc. Repeatability of CVD film deposition during sequential processing of substrates in a deposition chamber
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
US7208793B2 (en) * 2004-11-23 2007-04-24 Micron Technology, Inc. Scalable integrated logic and non-volatile memory
JP5291866B2 (ja) * 2005-05-31 2013-09-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7666771B2 (en) * 2005-12-09 2010-02-23 Semequip, Inc. System and method for the manufacture of semiconductor devices by the implantation of carbon clusters
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7410852B2 (en) * 2006-04-21 2008-08-12 International Business Machines Corporation Opto-thermal annealing methods for forming metal gate and fully silicided gate field effect transistors
US7795124B2 (en) * 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
WO2008016851A1 (en) * 2006-07-28 2008-02-07 Applied Materials, Inc. Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
JP5309454B2 (ja) * 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
KR100843879B1 (ko) * 2007-03-15 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법

Also Published As

Publication number Publication date
EP2338166A4 (en) 2012-11-14
JP2012503336A (ja) 2012-02-02
TW201023268A (en) 2010-06-16
TWI487029B (zh) 2015-06-01
EP2338166A2 (en) 2011-06-29
US20100075499A1 (en) 2010-03-25
CN102160160A (zh) 2011-08-17
WO2010033378A3 (en) 2010-06-17
KR20110076945A (ko) 2011-07-06
WO2010033378A2 (en) 2010-03-25

Similar Documents

Publication Publication Date Title
JP5579721B2 (ja) 金属シリサイド形成のための方法および装置
US7867900B2 (en) Aluminum contact integration on cobalt silicide junction
TW569322B (en) Semiconductor device having a low-resistance gate electrode
US6156654A (en) Pulsed laser salicidation for fabrication of ultra-thin silicides in sub-quarter micron devices
US7687398B2 (en) Technique for forming nickel silicide by depositing nickel from a gaseous precursor
JP2006516174A (ja) 半導体プロセスにシリサイドコンタクトを使用する方法
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
KR20170104936A (ko) 금속 규화물들의 선택적 형성
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
US7485572B2 (en) Method for improved formation of cobalt silicide contacts in semiconductor devices
US6521501B1 (en) Method of forming a CMOS transistor having ultra shallow source and drain regions
US6432805B1 (en) Co-deposition of nitrogen and metal for metal silicide formation
TWI787702B (zh) 使用pvd釕的方法與裝置
US20110272279A1 (en) Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device by using the same
US6254739B1 (en) Pre-treatment for salicide process
US20030186523A1 (en) Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit
US6376373B1 (en) Method of manufacturing a semiconductor device
TW202009975A (zh) 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
US6387767B1 (en) Nitrogen-rich silicon nitride sidewall spacer deposition
US6372673B1 (en) Silicon-starved nitride spacer deposition
US6800553B2 (en) Method for manufacturing a silicide layer of semiconductor device
KR101536174B1 (ko) 산소 확산을 억제할 수 있는 반도체 소자 제조 방법
US6156632A (en) Method of forming polycide structures
US20040222083A1 (en) Pre-treatment for salicide process
KR20020001380A (ko) 반도체 소자의 게이트 전극 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120829

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140227

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140513

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140610

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140709

R150 Certificate of patent or registration of utility model

Ref document number: 5579721

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees