JP5285777B2 - 3次元集積回路の製造方法及びプログラム - Google Patents

3次元集積回路の製造方法及びプログラム Download PDF

Info

Publication number
JP5285777B2
JP5285777B2 JP2011523964A JP2011523964A JP5285777B2 JP 5285777 B2 JP5285777 B2 JP 5285777B2 JP 2011523964 A JP2011523964 A JP 2011523964A JP 2011523964 A JP2011523964 A JP 2011523964A JP 5285777 B2 JP5285777 B2 JP 5285777B2
Authority
JP
Japan
Prior art keywords
layer
wafer
active circuit
circuit layer
interface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011523964A
Other languages
English (en)
Other versions
JP2012500504A (ja
Inventor
ファルック、ムクタ、ジー
ハノン、ロバート
リアー、スブラマニアン、エス
コースター、スティーブン、ジェイ
プルショサーマン、サンパス
ユー、ロイ、アール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012500504A publication Critical patent/JP2012500504A/ja
Application granted granted Critical
Publication of JP5285777B2 publication Critical patent/JP5285777B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05024Disposition the internal layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Wire Bonding (AREA)

Description

関連する他の出願
本出願は、本出願と同日に出願されそして本出願人(インターナショナル・ビジネス・マシーンズ・コーポレーション)の出願であって、発明の名称が「インターフェース・ウエハを永久的キャリアとして使用する3次元集積回路デバイスの製造方法」である米国特許出願番号12/194,198号並びに発明の名称が高コストの能動回路層の前に積層される低コストの能動回路層を有する3次元集積回路デバイスである米国特許出願番号12/194,211号に関連する。これらの関連出願を参照のために本明細書に統合する。
本発明は、一般的に集積回路の分野に関し、更に具体的にいうならば、3次元(3D)集積回路デバイスの製造に関する。
3次元(3D)集積回路デバイスは、産業において非常に活動的な発展を続けている。3D集積回路デバイスの製造において生じた1つの問題は、汎用の基板を薄くする技術が、適切な縦横比を有する高密度のスルー・シリコン・ビアを実現するに十分な薄さである制御された厚さの最終基板を生成することができなかったことである。この問題を解決するための1つの周知技術は、エッチング停止層として埋め込み酸化物層(BOX)を利用する。しかしながら、この技術は、シリコン・オン・インシュレータ(SOI)ウエハのみに対して有効である。更に、SOIウエハにおいても、この技術は、例えば埋め込み型DRAM(e−DRAM)トレンチのような埋め込み酸化物の下にまで延びる構造を有するSOI回路に対して有効ではない。
この問題を解決するための他の周知の技術は、ダブル埋め込み酸化物層(ダブル−BOX)構造を利用する。しかしながら、この技術は、製造コストを著しく増大する。更に、単一の酸化物層構造を用いる解決法と同様に、ダブル−BOX技術は、基板を他のウエハから保護することを必要とする。このような保護が必要な理由は、SOIウエハはエッチング停止層として働くけれども、これは、異なる基板相互間の選択性を与えないからである。
この問題を解決するための更に他の技術は、エッチング停止層を使用せずに、盲目的に薄くすることを行う。しかしながら、この技術は、ウエハを迅速に薄くすることができず、そして一様性の問題を生じる。更に高密度の3Dビアを必要とする集積回路に対して、この技術は、銅により充填され得ない高い縦横比のビアを使用させる。これの代わりに、タングステンがビアに使用されなければならないが、タングステンは銅よりも3倍抵抗が高い。
3D集積回路デバイスの製造において生じた他の問題は、多層積層体(スタック)を形成するように3つ以上の層を積み重ねると、歩留まりが減少することである。この問題を解決しようとする1つの技術は、複数の層を接着により一時的なハンドル・ウエハに積層することである。しかしながら、このような一時的なハンドル・ウエハ(例えば、ガラス・ウエハ)を使用すると、層相互間の重ね合わせの位置合わせを阻害する重ね合わせの歪みを生じる。即ち、この技術は、後続のリソグラフィック・ステップにおける高精度の光学的位置合わせを不可能にする。高精度の光学的位置合わせができないと、ビア密度が低下し、そして高い浮遊キャパシタンスを伴う大型のパッドが使用されなければならない。更に、このような一時的なハンドル・ウエハを得るように接着することは、ウエハが積層される過程で融通性を与えない。過程で柔軟性を与える。
この問題を解決するための他の技術は、単純にウエハを直接フェイス・ツー・フェイス接合することである。しかしながら、このような直接フェイス・ツー・フェイス接合は、底部のウエハ(通常、ロジック・ウエハ)が積層プロセスに亘ってハンドル・ウエハとして使用されなければならないので問題である。この方法は、2層積層体の製造においては受け入れられるが、多層(即ち、3層以上)積層体の場合には、ロジック・ウエハが多くのボンディング・ステップ及び薄くするステップを通されることを意味する。このことは、積層体中で最も高価なウエハであるロジック・ウエハを含む集積回路全体が大きな故障を生じそして使用不能になる可能性を増大する。
本発明の1つの実施例は、3D集積回路構造を製造する方法を提供する。この方法に従うと、第1の能動回路層ウエハが準備される。第1の能動回路層ウエハは、P−層により覆われているP+部分を有し、そしてP−層は能動回路を含む。第1の能動回路層ウエハは、第1の配線層を含むインターフェース・ウエハにフェイス・ダウン・ボンディングされ、次いで、第1の能動回路層ウエハのP+部分が、この第1の能動回路層ウエハのP−層から選択的に除去される。次に、配線層が、P−層の背面に形成される。
本発明の他の実施例は、インターフェース・ウエハ、能動回路を含むP−層を有する第1の能動回路層ウエハ、P−層の背面の第2の配線層並びに、P−層により覆われたP+部分を有する第2の能動回路層ウエハを備える3次元集積回路構造を提供する。インターフェース・ウエハは、第1の配線層を含み、そして第1の能動回路層ウエハは、インターフェース・ウエハにフェイス・ダウン・ボンディングされる。第2の能動回路層ウエハのP−層は、能動回路を含み、そして第2の能動回路層ウエハは、第2の配線層にフェイス・ダウン・ボンディングされる。
本発明の他の目的、特徴及び利点は、以下の詳細な説明により明らかになるであろう。本発明の良好な実施例を説明する詳細な説明及び特定な例は説明のためのものであり、本発明の精神から逸脱することなく種々な変形及び修正が可能である。
本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスの断面図である。 本発明の1つの実施例に従うロジック層−能動回路層−インターフェース層の積層構造を有する3D集積回路構造を示す図である。 本発明の1つの実施例に従う全ての層がSOIウエハである3D集積回路構造を示す図である。 本発明の1つの実施例に従積層構造のインターフェース層に回路素子を有する3D集積回路構造を示す図である。 半導体の設計、製造又はテストあるいはこれらにおいて使用される設計プロセスの流れ図である。
本発明の良好な実施例について図面を参照して以下に説明する。
本発明の実施例は、P+/P−基板を利用することにより、3次元(3D)集積回路デバイスの製造において、正確に制御された基板の除去を可能にする。P+/P−基板は、P−上部能動層を有するP+基板である。例示的な1つの実施例においては、P−上部能動層はP+ウエハ上にエピタキシャル成長され、そして約5ミクロン及び20ミクロンの間の厚さを有する。P+/P−基板のP+ウエハ及びP−上部能動層は、非常に薄い層を残すようにこの基板の一部を制御可能に除去することを可能にする。かくして、本発明は、3D集積回路のために従来提案された基板を薄くする技術において存在していた基板を制御可能に薄くすることができなかったという問題を解決する。本発明において基板を制御可能に除去して薄くできるということは、低抵抗を与える銅を充填するビアの縦横比を小さくすることができる。更に、本発明の実施例においては、コストが低く且つ外部からの影響を受けにくい汎用的なエッチング停止層を使用することができる。
図1乃至図11は、本発明の1つの実施例に従う3次元集積回路デバイスを製造するプロセスを示す。図1に示されているように、プロセスは、完成した集積回路内のパッケージ及び積層された能動回路層の間のインターフェースとなるインターフェース・ウエハ100から出発する。具体的に説明すると、インターフェース・ウエハ100の露出表面は、完成した集積回路のC4(コントロールド・コラプス・チップ接続)ハンダ・バンプを坦持する。これらのC4(又はフリップ・チップ)ハンダ・バンプは、集積回路をパッケージ(例えば、樹脂又はセラミック・モジュール)に取り付けるために使用される。インターフェース・ウエハ100は、後続の基板除去ステップで使用されるエッチング剤に溶解しない材料(即ち、P−層に対してP+層を選択的にエッチングするエッチング剤に溶解しない材料)で作られた基板である。
この実施例において、インターフェース・ウエハは、P+基板で形成されないので、これは、積層体の能動回路層のウエハの基板を除去するエッチング剤に侵されない。インターフェース・ウエハ100は、パッケージと同じピッチのスルー・シリコン・ビア102を有するシリコン基板である。更に、この実施例において、スルー・シリコン・ビアは、タングステン金属で充填されている。更に他の実施例において、スルー・シリコン・ビアは、例えば銅のような他の金属で充填される。インターフェース・ウエハ100のスルー・シリコン・ビアは、積層体の他の層のスルー・シリコン・ビアと同じ材料で作られる必要はない。又、インターフェース・ウエハ100は、集積回路の積み重ねられた層へ信号及び電力を分配する配線層104を有する。この実施例において、インターフェース・ウエハ100は、赤外線放射を透過させる。
更に、第1の能動回路層ウエハ200が準備される。第1の能動回路層ウエハ200は、P−上部能動回路層204を有するP+ウエハ202であるP+/P−シリコン基板で形成される。この実施例において、P−上部能動回路層204は、P+ウエハ上にエピタキシャル成長され、そして約5ミクロン及び20ミクロンの間の厚さを有する。更に、この実施例では、P+ウエハは、約1×1018cm−3乃至3×1020cm−3の範囲のドーピング濃度を有する硼素がドープされたウエハであり、そしてP−エピタキシャル層は、約1×1018cm−3よりも少ないドーピング濃度を有する。更に他の実施例では、P−エピタキシャル層は、意図的にドープされず、又は約1×1018cm−3よりも少ない濃度でドープされたN型である。
スルー・シリコン・ビア206は、P+ウエハ202の近傍で終端するようにP−上部能動回路層204内にエッチングされる。更に他の実施例において、ビア206は、P+ウエハ202の表面を通過する。能動回路(即ち、例えばトランジスタのような能動コンポーネント)及び1つ以上の配線レベル208が、第1の能動回路層ウエハ200の上面に形成される。
次に、図2に示されているように、第1の能動回路層ウエハ200は、インターフェース・ウエハ100に対してフェイス・ダウンで位置合わせされる。2つのシリコン・ウエハを使用するこのフェイス・ツー・フェイス位置合わせは、ウエハの一方が一時的なハンドル・ウエハ(例えばガラス)を利用する場合に比べて高い精度の位置合わせを可能にする。第1の能動回路層ウエハ200は、インターフェース・ウエハ100に接着される。この実施例において、銅−銅又は銅−銅及び接着剤ボンディング(例えば、ポリマー接着剤を使用する)の組み合わせが利用される。更に他の実施例において、他の金属(例えば銅合金又はニッケル−金合金)が利用される。
次いで、図3に示されているように、第1の回路層ウエハ200のP+層202が選択的に除去される。この実施例において、一連の非選択的な基板を薄くするプロセス(例えば、ウエハの荒削り(grinding)及び研磨)が最初に行われ、次いで、P−層204に対して残りのP+層202を選択的に除去するために、湿式化学エッチングが利用される。第1の能動回路層ウエハ200のP+層202の最終的な除去は、例えばHNA(弗化水素酸/硝酸/酢酸)のような選択的なエッチング剤を使用して行われる。インターフェース・ウエハ100のバルクは、この選択的エッチング剤中で溶解しないので、このプロセスは外部からの影響を非常に受けにくい。更に追加すると、この実施例において、インターフェース・ウエハ100は、赤外線(IR)位置合わせを行うために、軽くドープされたN−又はP−シリコンで作られる。又、他の実施例において、インターフェース・ウエハ100はP+シリコン基板である。
このP+層の選択的な除去は、残存するP−エピタキシャル層204、能動回路、配線レベル104及び208,又はインターフェース・ウエハ100に殆ど影響しない。かくして、P+/P−基板の使用は、P+層を選択的に除去することを可能とし、その結果ウエハは、非常に薄くされ得る(例えば約5−20ミクロン)P−層の厚さまで制御可能に薄くされる。
次に、この実施例においては、P−層204のビア206の上部を露出するために、エッチ・バック(例えば反応性イオン・エッチングを使用して)が行われる。ビア206がP+層202まで到達している他の実施例においては、このようなエッチングは必要でない。その理由は、ビアの上部は、P+層の選択的な除去の後に既に露出されているからである。図4に示すように、絶縁層及び後工程(BEOL)で形成されビア206に結合された1つ以上の金属層を有する配線層210が、P−層204の背面に形成される。この実施例では、配線層210の各金属層は、誘電体層を付着し、この誘電体層を選択的にエッチングし、そしてエッチングされた領域に金属を付着することにより形成される。
これらのステップは、インターフェース・ウエハ100上に多層積層体を形成するために任意の回数だけ繰り返される。例えば、図示の実施例では、これらのステップは第2の能動回路層を形成するために、もう一度繰り返される。更に具体的に説明すると、第2の能動回路層ウエハ300が図5に示されているように準備される。又、第2の能動回路層ウエハ300は、P−上部能動回路層304を有するP+ウエハ302であるP+/P−シリコン基板で形成される。この実施例においては、P−上部能動回路層304は、エピタキシャル成長され、そして約5ミクロン及び20ミクロンの間の厚さを有する。スルー・シリコン・ビア306がP+ウエハ302の近傍までP−上部能動回路層304にエッチングされ、そして能動回路及び1つ以上の配線レベル308が、この第2の能動回路層ウエハ300の上面に形成される。
次に、図6に示されているように、第2の能動回路層ウエハ300は、インターフェース・ウエハ100に取り付けられている第1のP−層204の配線層210に対してフェイス・ダウンで位置合わせされる。2つのシリコン・ウエハを使用するこのフェイス・ツー・フェイス位置合わせは、ウエハの一方が一時的ハンドル・ウエハ(例えばガラス)である場合に比べて、より高い精度の位置合わせを可能にする。第2の能動回路層ウエハ300は、銅−銅又は銅−銅及び接着剤ボンディングの組み合わせを使用して配線層210に接着される。他の実施例において、他の金属(例えば銅合金又はニッケル−金合金)が利用される。
次いで、第2の能動回路層ウエハ300のP+層302が、図7に示されているように選択的に除去される。この実施例においては、一連の非選択的な基板を薄くするプロセス(例えば、ウエハ荒削り及び研磨)が最初に利用され、次いで、第2の能動回路層ウエハ300のP−層304に対して第2の能動回路層ウエハ300の残りのP+層302を選択的に除去するために湿式化学エッチングが利用される。この第2の能動回路層ウエハ300のP+層302の選択的除去は、残存しているP−層204及び304,能動回路及び配線レベル104,208,210及び308,又はインターフェース・ウエハ100に殆ど影響を与えない。かくして、インターフェース・ウエハ100は、多層積層体を形成するために使用される全ての能動回路層ウエハのP+層を除去するために行われる複数回の基板除去エッチングにより影響を受けにくい。
次いで、第2のP−層304のビア306の上部を露出するためにエッチ・バックが行われる。絶縁層及びビア306に結合された1つ以上のBEOL金属層を有する配線層310が、図8に示されているように第2のP−層304の背面に形成される。
このようにして、インターフェース・ウエハに所望の数の能動回路層が接着された後に、この結果的な構造は、図9に示されているようにベース・ウエハ800に取り付けられる。この実施例のベース・ウエハ800は、バルク・シリコン又はSOIで作られ、そしてこれの上面には、絶縁層及び1つ以上のBEOL金属層を有する配線層808が設けられている。この実施例において、ベース・ウエハ800は、スルー・シリコン・ビアを有しない。幾つかの実施例において、ベース・ウエハは、能動回路(例えばトランジスタ)または受動回路素子(例えば抵抗及びキャパシタ)あるいはその両方を含む。インターフェース層100及びこれに取り付けられる能動回路層の積層体は、ベース・ウエハに対してフェイス・ダウンで位置合わせされる。かくして、この実施例では能動回路層は2回ひっくり返されるので、インターフェース・ウエハ100に以前に積層された能動回路層の全ては、図9の矢印により示されているようにベース・ウエハ800に対してフェイス・アップされている。
次いで、多層積層体の上部配線層310が、ベース・ウエハ800に接続される。この実施例においては、銅−銅又は銅−銅及び接着剤(例えばポリマー接着剤)の組み合わせが利用される。他の実施例においては、他の金属(例えば銅合金又はニッケル−金合金)が利用される。次いで、インターフェース層100の厚さが薄くされる。この実施例においては、この薄くする処理は、2つのステップで行われる。最初に、インターフェース・ウエハ100のビア102の上までこのインターフェース・ウエハを薄くするために、荒削り及び研磨の組み合わせが行われる。次に、インターフェース・ウエハ100は、図10に示されているように、ビア102の上部が露出されているインターフェース層101を形成するために、ドライ・エッチング(例えば反応性イオン・エッチングを使用する)により更に薄くされる。次いで、裏側の誘電体層820が、インターフェース層101の裏側に付着される。
図11に示されているように、次いで裏側の誘電体層820に対して研磨又はエッチングあるいはその両方が行われ、そしてコンタクト金属822が、インターフェース層101のビア102に付着される。この実施例においては、単純なボール形成金属が、C4ハンダ・バンプの付着を行わせるようにビアの上に付着される。他の実施例においては、更に複雑な誘電体及び金属層が形成される。次いで、3D集積回路構造を完成するために、C4ハンダ・バンプ825が、コンタクト金属822の上に付着される。この実施例において、C4ハンダ・バンプの直径は、100μmのオーダでありそしてピッチは200μm以下である。次いで、これらのC4(フリップ・チップ)ハンダ・バンプは、この集積回路をパッケージ(例えば樹脂又はセラミック・モジュール)に接続するのに使用される。
上述の例示的なプロセスは本発明の原理を示すためのものである。インターフェース・ウエハ上に積層される層の数、型及び順番を単純に変更することにより、異なる多くの3D集積回路構造が製造されることができる。例えば、例示したプロセスは、1+2+1積層体(1つのベース・ウエハ、2つの能動回路層及び1つのインターフェース層)を製造したけれども、1+N+1積層体が、上述のように能動回路層の積層プロセスをN回単純に繰り返すことにより製造されることができる。1+N+1積層構造の場合には、追加の能動回路層(それぞれは層210,204及び208により形成されるものと同じである)は、例えば図12に示すように、図11の構造の第1の能動回路層の層210と最後(Nth)の能動回路銅の層308との間に積層される。
同様に、1+1+1の積層を有する構造は、能動回路層の積層プロセスを1回だけ行うことにより形成されることができる。1+1+1の積層構造においては、第2の能動回路層(層310,304及び308により形成される層)は、図11の構造において存在しない。かくして、ベース・ウエハの上部に複数の能動回路層を積層する本発明のプロセスは、ベース・ウエハの上に唯1つの能動回路層を積層するように一貫的に使用されることができる。
更に他の実施例において、論理ウエハ(即ち論理回路を備えるウエハ)が、ベース・ウエハとして使用される(即ちベース・ウエハは論理回路を含む)。例えば、図12は、本発明の1つの実施例に従う、論理ウエハ−能動回路層−インターフェース層の積層構造を有する3D集積回路構造を示す。この例示的な実施例においては、論理ウエハの上面に積層されているメモリ層であるN個の能動回路層の上部にインターフェース層が積層されている。メモリ層は、例えばSRAMメモリ、e−DRAMメモリ又はこれら2つの組み合わせのような任意の型のメモリである。論理ウエハは、例えばメモリ・コントローラ又はプロセス・コアのようなコントロール回路又は論理回路あるいはその両方を含む。他の実施例において、インターフェース層及び唯1つの能動回路層(例えばメモリ層)が論理ウエハの上部に積層される。
更に、上述のプロセスで使用された1つ以上のウエハを、シリコン・オン・インシュレータ(SOI)ウエハとすることができる。例えば、図13は、本発明の1つの実施例に従って全ての層がSOIウエハ上に形成される3D集積回路構造を示す。他の実施例においては、(図13のように)ベース・ウエハがSOI基板であり、一方能動回路層及びインターフェース層のためのウエハは図12のようにバルク・シリコン・ウエハである。更に他の実施例においては、(図13のように)ベース・ウエハはSOI基板であり、能動回路層のためのウエハは、SOIウエハ(図13のように)及びバルク・シリコン・ウエハ(図12のように)の両方を含み、そしてインターフェース層のためのウエハはSOI又はバルク・シリコン・ウエハである。
図14は、本発明の1つの実施例に従う、積層構造のインターフェース層内に回路素子を有する3D集積回路構造を示す。この実施例においては、インターフェース層は、これに能動回路又は受動回路あるいはその両方が設けられていることにより、追加の機能を行う。例えば、インターフェース層は、電圧グリッドを安定化するために減結合キャパシタ層を含むことができる。これの代わりに又はこれに追加して、インターフェース層は、減結合キャパシタのような受動回路素子及び能動トランジスタにより形成される電圧調整回路を含むことができる。
従って、本発明の種々な実施例は、3次元(3D)集積回路デバイスの製造にP+/P−基板を利用する。このことが、非常に薄い層を残すようにこの基板の一部を制御可能に除去することを可能にする。かくして、本発明は、3D集積回路のために従来提案された基板を薄くする技術において存在していた基板を制御可能に薄くすることができなかったという問題を解決する。このことは、低抵抗を与える銅を充填するビアの縦横比を小さくすることができる。更に、本発明の実施例においては、コストが低く且つ外部からの影響を受けにくい汎用的なエッチング停止層を使用することができる。
上述の本発明の実施例は、本発明の原理を説明するためのものである。これらのデバイス製造プロセスは従来の半導体製造方法と互換性があり、かくして種々な変更及び修正がなし得ることは当業者において明らかである。このような全ての変更は、本発明の範囲内にある。例えば既に説明した種々な層の厚さ、材料の型、付着技術等に限定されない。
更に、本発明の例の特徴の幾つかは、他の特徴を使用せずに有利に使用されることができる。従って、上述の説明は、本発明の原理、技術、例及び例示的な実施例を単に示すものであってこれに限定されない。
これらの実施例は、本発明の革新的技術多くの有利な使用例に過ぎない。一般的に、本明細書における説明は、本発明を限定するものではない。
上述の回路は、集積回路チップのデザイン(設計)の一部である。チップ・デザインは、グラフィック・コンピュータ・プログラミング言語で生成され、そしてコンピュータ記憶媒体(例えば、ディスク、テープ、物理的ハード・ドライブ、又はストレージ・アクセス・メットワークにおけるような仮想ハード・ドライブ)に記憶される。もしも設計者がチップ又はチップを製造するのに使用されるフォトリソグラフィック・マスクを製造しないならば、設計者は、最終的なデザインを物理的手段(例えば、このデザインを記憶している記憶媒体のコピーを与えることにより)又は電子的に(例えばインターネットを介して)直接的又は間接的にこのようなエンティティに転送する。次いで、記憶されているデザインは、フォトリソグラフィック・マスクの製造のための適切なフォーマット(例えばGDSII)に変換され、そしてマスクは代表的には、ウエハ上に形成されるべきチップ・デザインのコピーを複数含む。フォトリソグラフィック・マスクは、エッチングされるべきまたは他の処理が行われるべきウエハ(又はこれの上にある層)上の領域を限定するのに使用される。
上述の方法は、集積回路チップの製造に使用される。製造された集積回路チップは、製造者によりロウ・ウエハ(即ち、まだパッケージされていない多数のチップを含むシングル・ウエハとして)の形でベア・チップとして、又はパッケージされた形で販売されることができる。後者の場合、チップは、シングル・チップ・パッケージ(例えばマザー・ボードまたは他の高レベルのキャリアに取り付けられるリードを備えたプラスチック・キャリア)に、又はマルチチップ・パッケージ(例えば片面若しくは両面の相互接続又は埋め込み型相互接続を有するセラミック・キャリア)にマウントされる。いずれの場合においても、チップは、(a)例えばマザー・ボードのような中間製品又は(b)最終製品の一部として、他のチップ、個別回路素子または他の信号処理デバイスあるいはこれらと共に集積される。最終製品は、玩具及び他の低価格製品から表示装置、キーボードまたは他の入力デバイス及び中央プロセッサを有する最新型コンピュータ製品に亘る、集積回路チップを含む任意の製品である。
図15は、例えば半導体ICのロジック設計、シミュレーション、テスト、レイアウト及び製造において使用される例示的設計の流れ900のブロック図を示す。設計の流れ900は、設計構造の論理的または他の機能的に等価な表現物又は図1乃至図14に示したデバイスあるいはその両方を発生するために設計構造又はデバイスを処理するプロセス及びメカニズムを含む。設計の流れ900により処理又は発生される設計構造は、データ及び命令を含むようにコンピュータ読み取り可能な伝達又は記憶媒体上で解読されることができ、これらデータ及び命令は、データ処理システムにおいて実行又は処理されるときに、ハードウエア・コンポーネント、回路、デバイス又はシステムの論理的、構造的、機械的又は機能的に等価な表現物を発生する。設計の流れ900は、設計されつつある表現物の型に依存して変化する。例えば、特定用途向けIC(ASIC)を組み立てるための設計の流れ900は、標準コンポーネントを設計する設計の流れ,又は設計を、例えばプログラム可能なゲート・アレイ(PGA)又はAltera(R)社又はXilinx(R)社によるフィールド・プログラム可能なゲート・アレイ(FPGA)のようなプログラム可能アレイに渡すための設計の流れとは異なる。
図15は、設計プロセス910により処理されることが望ましい入力設計構造920を含むこのような複数の設計構造を示す。設計構造920は、ハードウエア・デバイスの論理的に等価な機能表現物を発生するために設計プロセス910により発生されそして処理される論理シミュレーション設計構造でもよい。これの代わりに、設計構造920は、設計プロセス910により処理されるときにハードウエア・デバイスの物理的構造の機能的表現物を発生するデータ又はプログラム命令あるいはその両方からなる。機能的特徴又は構造的設計特徴あるいはその両方を表すかにかかわらず、設計構造920は、例えばコア・デベロッパ/デザイナによりインプリメントされるような電気CAD(ECAD)を使用して発生され得る。コンピュータ読み取り可能なデータ転送、ゲート・アレイ又は記憶媒体上でエンコードされると、設計構造920は、図1乃至図14に示したような電子コンポーネント、回路、電子又は論理モジュール、装置、デバイス又はシステムをシミュレート又は機能的に表すために、設計プロセス910内の1つ以上のハードウエアまたはソフトウエア・モジュールあるいはその両方によりアクセス及び処理される。従って、設計構造920は、デザイン又はシミュレーション・データ処理システムにより処理されたときにハードウエア論理設計の回路または他のレベルを機能的にシミュレートし又は表現する、人間又はコンピュータ読み取り可能なソース・コード、コンパイルされた構造及びコンピュータ実行可能なコード構造を含むファイルまたは他のデータ構造からなる。このようなデータ構造は、例えばVerilog及びVHDLのような低レベルのHDLデザイン言語又は例えばC又はC++のような高レベルのデザイン言語あるいはその両方に順応し又はコンパチブルなハードウエア記述言語(HDL)又は他のデータ構造を含むことができる。
設計プロセス910は、設計構造920のようなデータ構造を含むネットリスト980を発生するために、図1乃至図14に示されているコンポーネント、回路、デバイス又は論理構造の機能的に等価な設計/シミュレーションを合成、翻訳または他の処理を行うためのハードウエアまたはソフトウエア・モジュールと協働する。ネットリスト980は、集積回路設計の他の素子及び回路への接続を記述するワイヤ、個別コンポーネント、論理ゲート、制御回路、I/Oデバイス、モジュール等のリストを表す例えばコンパイルされたまたは他の処理をされたデータ構造を含む。ネットリスト980は、このネットリスト980がデバイスに対する設計仕様及びパラメータに依存して1回以上再組み立てされる繰り返しプロセスを使用して組み立てられる。本明細書で説明した他の設計構造の様式のように、ネットリスト980は、コンピュータ読み取り可能なデータ記憶媒体に記録され又はプログラム可能なゲート・アレイにプログラムされる。媒体は、例えば磁気又は光学的ディスク・ドライブ、プログラム可能なゲート・アレイ、コンパクト・フラッシュ・メモリまたは他のフラッシュ・メモリのような不揮発性記憶媒体でもよい。更に、媒体は、データ・パケットがインターネットまたは他のネットワーク対応手段を介して転送されそして直ちに記憶されるシステム又はキャッシュ・メモリ、バッファ・スペース又は電気的若しくは光学的に伝導性のデバイス及び材料でもよい。
設計プロセス910は、ネットリスト980を含む種々な入力データ構造の様式(types)を処理するためのハードウエア及びソフトウエア・モジュールを含む。このようなデータ構造の様式は、例えばライブラリィ・エレメント930内に存在し、そして所定の製造技術(例えば、異なる技術ノード32nm、45nm、90nm等)に対する1組の一般的に使用されるモデル、レイアウトを含む素子、回路、デバイス及びシンボリック記号を含む。データ構造の様式は更に、設計仕様940,特性データ950,検証データ960,設計ルール970並びに入力テスト・パターン、出力テスト・パターン及び他のテスト情報を含むテスト・データ・ファイル985を含む。設計プロセス910は又、例えば型作り、モールド及びダイ・プレス・フォーミング等の動作のためのストレス分析、熱分析、機械的イベント・シミュレーション、プロセス・シミュレーションのような標準的な機械的設計プロセスを含む。機械設計の分野の当業者は、本発明の精神から逸脱することなく、設計プロセス910で使用される機械的設計ツール及び適用の範囲を理解するであろう。設計プロセス910は又、タイミング分析、検証、設計ルール・チェック、配置及びルート動作等のような標準の回路設計プロセスのためのモジュールを含むことができる。
設計プロセス910は、示された支持データ構造の幾つか又は全て及び任意の追加の機械的設計又はデータ(もしも適用できるならば)と共に設計構造920を処理して、第2の設計構造990を発生するために例えばHDLコンパイラ及びシミュレーション・モデル・ビルト・ツールのような、論理的及び物理的設計ツールを使用しそしてこれらと協働する。設計構造990は、機械的デバイス及び構造のデータの交換のために使用されるデータ・フォーマット(例えばIGES,DXF,Parasolid,XT,JT,DRGに記憶される情報、又はこのような機械的設計構造を記憶し若しくはレンダリングするための適切なフォーマット)で記憶媒体又はプログラム可能ゲート・アレイに駐在する。設計構造920と同様に、設計構造990は、転送又はデータ記憶媒体上に駐在し、そしてECADシステムにより処理されるときに、図1乃至図14に示されている本発明の1つ以上の実施例の論理フォームまたは他の機能的に等価なフォームを発生する1つ以上のファイル、データ構造または他のコンピュータ・エンコーデッド・データ又は命令を含む。1つの実施例において、設計構造990は、図1乃至図14に示されているデバイスを機能的にシミュレートするコンパイルされた実行可能なHDLシミュレーション・モデルを含む。
又、設計構造990は、集積回路のレイアウト・データ又はシンボリック・データ・フォーマットあるいはその両方(例えばGDSII(GDS2),GL1,OASIS,マップ・ファイル、又はこのような設計データ構造を記憶するための他の適切なフォーマット)の交換のために使用されるデータ・フォーマットを使用できる。設計構造990は、図1乃至図14に示されそして上に説明したデバイス又は構造を形成するために製造者または他のデザイナ/開発者により要求される例えば、シンボリック・データ、マップ・ファイル、テスト・データ・ファイル、設計コンテント・ファイル、製造データ、レイアウト・パラメータ、ワイヤ、金属のレベル、ビア、形状、製造ラインを通してルーティンするためのデータ及び任意の他のデータのような、情報を含むことができる。次いで、設計構造990はステージ995に進み、ここで例えば設計構造990は、テープ・アウトされ、製造ラインにリリースされ、マスク・ハウスにリリースされ、他のデザイン・ハウスにリリースされ、カストマに送り返される。
100 インターフェース・ウエハ
102 スルー・シリコン・ビア
104 配線層
200 第1の能動回路層ウエハ
202 P+ウエハ
204 P−上部能動回路層
206 スルー・シリコン・ビア
208 配線レベル
300 第2の能動回路層ウエハ
302 P+ウエハ
304 P−上部能動回路層
306 スルー・シリコン・ビア
308 配線レベル
800 ベース・ウエハ
808 配線層
820 誘電体層
822 コンタクト金属
825 C4ハンダ・バンプ
910 設計プロセス
920 入力設計構造
930 ライブラリィ・エレメント
940 設計仕様
950 特性データ
960 検証データ
970 設計ルール
980 ネットリスト
985 テスト・データ・ファイル
990 設計構造
995 ステージ

Claims (11)

  1. 第1配線層及びスルー・シリコン・ビアを含むインターフェース・ウエハを準備するステップと、
    能動回路及びスルー・シリコン・ビアを含むP−層により覆われているP+部分を有する第1の能動回路層ウエハを準備するステップと、
    前記第1の能動回路層ウエハを前記インターフェース・ウエハにフェイス・ダウン・ボンディングするステップと、
    前記第1の能動回路層ウエハを前記インターフェース・ウエハにフェイス・ダウン・ボンディングした後に、前記第1の能動回路層ウエハの前記P−層を残すように前記第1の能動回路層ウエハの前記P+部分を選択的に除去するステップと、
    前記第1の能動回路層ウエハのP+部分を除去した後に、前記P−層の背面に第2配線層を形成するステップと、
    能動回路を含むP−層により覆われているP+部分を有する第2の能動回路層ウエハを準備するステップと、
    前記第2の能動回路層ウエハを前記第2配線層にフェイス・ダウン・ボンディングするステップと、
    前記第2の能動回路層ウエハを前記第2配線層にフェイス・ダウン・ボンディングした後に、前記第2の能動回路層ウエハの前記P−層を残すように前記第2の能動回路層ウエハの前記P+部分を選択的に除去するステップと、
    前記第2の能動回路層ウエハの前記P+部分を選択的に除去した後に、前記第2の能動回路層ウエハの前記P−層の背面に第3配線層を形成するステップと、
    第4配線層を含むベース・ウエハを準備するステップと、
    前記第3配線層を前記ベース・ウエハにフェイス・ダウン・ボンディングするステップと、
    前記第3配線層を前記ベース・ウエハにフェイス・ダウン・ボンディングした後に、前記インターフェース・ウエハを薄くしてインターフェース層を形成し、そして前記インターフェース層上にハンダ・バンプを構成する金属を形成するステップであって、前記ハンダ・バンプは前記インターフェース層の前記スルー・シリコン・ビアを介して前記第1配線層に結合されている前記ステップとを含み、
    前記インターフェース・ウエハは、前記第1の能動回路層ウエハの前記P−層を残すように前記第1の能動回路層ウエハの前記P+部分を選択的にエッチングする前記選択的に除去するステップにおいて使用されるエッチング剤に溶解しない材料で形成されている、3次元集積回路の製造方法。
  2. 能動回路を含むP−層により覆われているP+部分を有する第1の能動回路層ウエハを準備するステップと、
    前記第1の能動回路層ウエハを、第1配線層を含むインターフェース・ウエハにフェイス・ダウン・ボンディングするステップと、
    前記第1の能動回路層ウエハを前記インターフェース・ウエハにフェイス・ダウン・ボンディングした後に、前記第1の能動回路層ウエハの前記P−層を残すように前記第1の能動回路層ウエハの前記P+部分を選択的に除去するステップと、
    前記第1の能動回路層ウエハのP+部分を選択的に除去した後に、前記P−層の背面に配線層を形成するステップとを含む、3次元集積回路の製造方法。
  3. 第2配線層を含むベース・ウエハを準備するステップと、
    前記P−層の背面の配線層を前記ベース・ウエハにフェイス・ダウン・ボンディングするステップとを含む、請求項2に記載の方法。
  4. 前記P−層の背面の配線層を前記ベース・ウエハにフェイス・ダウン・ボンディングした後に、前記インターフェース・ウエハを薄くしてインターフェース層を形成し、そして前記インターフェース層上に金属を形成するステップであって、前記金属は前記インターフェース層のビアを介して前記第1配線層に結合されている前記ステップを含む、請求項3に記載の方法。
  5. 前記ベース・ウエハは論理回路を含む、請求項3に記載の方法。
  6. 前記インターフェース・ウエハは、前記第1の能動回路層ウエハの前記P−層を残すように前記第1の能動回路層ウエハの前記P+部分を選択的にエッチングする前記選択的に除去するステップにおいて使用されるエッチング剤に溶解しない材料で形成されている、請求項2に記載の方法。
  7. 前記第1の能動回路層ウエハは、スルー・シリコン・ビアを有する、請求項2に記載の方法。
  8. 能動回路を含むP−層により覆われているP+部分を有する他の能動回路層ウエハを準備するステップと、
    前記他の能動回路層ウエハを、該他の能動回路層ウエハに先行して既に設けられている能動回路層ウエハのP−層の背面の配線層にフェイス・ダウン・ボンディングするステップと、
    前記他の能動回路層ウエハを、前記既に設けられている能動回路層ウエハP−層の背面の配線層にフェイス・ダウン・ボンディングした後に、前記他の能動回路層ウエハの前記P−層を残すように前記他の能動回路層ウエハの前記P+部分を選択的に除去するステップと、
    前記他の能動回路層ウエハの前記P+部分を選択的に除去した後に、前記他の能動回路層ウエハの前記P−層の背面に他の配線層を形成するステップとを含む、請求項2に記載の方法。
  9. 前記他の能動回路層ウエハを準備するステップと、
    前記他の能動回路層ウエハを前記既に設けられている能動回路層ウエハP−層の背面上の配線層にフェイス・ダウン・ボンディングするステップと、
    前記他の能動回路層ウエハの前記P+部分を選択的に除去するステップと、
    前記他の能動回路層ウエハの前記P−層の背面に他の配線層を形成するステップとをN回繰り返すステップを含む、請求項8に記載の方法。
  10. 第2配線層を含むベース・ウエハを準備するステップと、
    N番目の能動回路層ウエハのP−層の背面の配線層を前記ベース・ウエハにフェイス・ダウン・ボンディングするステップとを含む、請求項9に記載の方法。
  11. コンピュータに3次元集積回路構造を製造するためのプログラムであって、請求項2ないし10のいずれか1項に記載の各ステップをコンピュータに実行させるためのプログラム。
JP2011523964A 2008-08-19 2009-08-19 3次元集積回路の製造方法及びプログラム Expired - Fee Related JP5285777B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/194,065 2008-08-19
US12/194,065 US8129256B2 (en) 2008-08-19 2008-08-19 3D integrated circuit device fabrication with precisely controllable substrate removal
PCT/US2009/054333 WO2010022163A1 (en) 2008-08-19 2009-08-19 3d integrated circuit device fabrication

Publications (2)

Publication Number Publication Date
JP2012500504A JP2012500504A (ja) 2012-01-05
JP5285777B2 true JP5285777B2 (ja) 2013-09-11

Family

ID=41695575

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011523964A Expired - Fee Related JP5285777B2 (ja) 2008-08-19 2009-08-19 3次元集積回路の製造方法及びプログラム

Country Status (6)

Country Link
US (3) US8129256B2 (ja)
EP (1) EP2313923B1 (ja)
JP (1) JP5285777B2 (ja)
KR (1) KR101332076B1 (ja)
TW (1) TWI514513B (ja)
WO (1) WO2010022163A1 (ja)

Families Citing this family (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399336B2 (en) 2008-08-19 2013-03-19 International Business Machines Corporation Method for fabricating a 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8298914B2 (en) * 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8395191B2 (en) 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8384426B2 (en) * 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US20110199116A1 (en) * 2010-02-16 2011-08-18 NuPGA Corporation Method for fabrication of a semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US9711407B2 (en) 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US11984445B2 (en) 2009-10-12 2024-05-14 Monolithic 3D Inc. 3D semiconductor devices and structures with metal layers
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US20120220101A1 (en) * 2010-08-27 2012-08-30 Triune Ip Llc Internal conductive layer
US11482440B2 (en) 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US10896931B1 (en) 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US11315980B1 (en) 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US11024673B1 (en) 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11984438B2 (en) 2010-10-13 2024-05-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11094576B1 (en) 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11610802B2 (en) 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11164770B1 (en) 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11854857B1 (en) 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11862503B2 (en) 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11355380B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482438B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11521888B2 (en) 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11804396B2 (en) 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US8970043B2 (en) * 2011-02-01 2015-03-03 Maxim Integrated Products, Inc. Bonded stacked wafers and methods of electroplating bonded stacked wafers
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8623717B2 (en) * 2012-06-12 2014-01-07 International Business Machines Corporation Side-gate defined tunable nanoconstriction in double-gated graphene multilayers
US8828785B2 (en) * 2012-09-12 2014-09-09 International Business Machines Corporation Single-crystal phase change material on insulator for reduced cell variability
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US8686428B1 (en) 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11967583B2 (en) 2012-12-22 2024-04-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11961827B1 (en) 2012-12-22 2024-04-16 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US9646872B2 (en) 2013-11-13 2017-05-09 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for a semiconductor structure having multiple semiconductor-device layers
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US9472859B2 (en) 2014-05-20 2016-10-18 International Business Machines Corporation Integration of area efficient antennas for phased array or wafer scale array antenna applications
US9401303B2 (en) 2014-08-01 2016-07-26 Globalfoundries Inc. Handler wafer removal by use of sacrificial inert layer
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
US9570431B1 (en) 2015-07-28 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer for integrated packages
US10468363B2 (en) 2015-08-10 2019-11-05 X-Celeprint Limited Chiplets with connection posts
US11956952B2 (en) 2015-08-23 2024-04-09 Monolithic 3D Inc. Semiconductor memory device and structure
US11978731B2 (en) 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
CN108401468A (zh) 2015-09-21 2018-08-14 莫诺利特斯3D有限公司 3d半导体器件和结构
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US11991884B1 (en) 2015-10-24 2024-05-21 Monolithic 3D Inc. 3D semiconductor device and structure with logic and memory
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US10103069B2 (en) 2016-04-01 2018-10-16 X-Celeprint Limited Pressure-activated electrical interconnection by micro-transfer printing
US10222698B2 (en) 2016-07-28 2019-03-05 X-Celeprint Limited Chiplets with wicking posts
US11064609B2 (en) 2016-08-04 2021-07-13 X Display Company Technology Limited Printable 3D electronic structure
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US10290574B2 (en) 2017-01-18 2019-05-14 Globalfoundries Inc. Embedded metal-insulator-metal (MIM) decoupling capacitor in monolitic three-dimensional (3D) integrated circuit (IC) structure
US10775429B2 (en) 2017-09-27 2020-09-15 Marvell Asia Pte., Ltd. Testing monolithic three dimensional integrated circuits
EP3525232A1 (en) * 2018-02-09 2019-08-14 Nexperia B.V. Semiconductor device and method of manufacturing the same
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11387178B2 (en) 2020-03-06 2022-07-12 X-Celeprint Limited Printable 3D electronic components and structures
US11490519B2 (en) 2021-01-11 2022-11-01 X-Celeprint Limited Printed stacked micro-devices

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2617798B2 (ja) * 1989-09-22 1997-06-04 三菱電機株式会社 積層型半導体装置およびその製造方法
EP0469215B1 (en) * 1990-07-31 1995-11-22 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5102821A (en) * 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
US6714625B1 (en) * 1992-04-08 2004-03-30 Elm Technology Corporation Lithography device for semiconductor circuit pattern generation
US5426072A (en) * 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
US6124179A (en) * 1996-09-05 2000-09-26 Adamic, Jr.; Fred W. Inverted dielectric isolation process
US5494849A (en) * 1995-03-23 1996-02-27 Si Bond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator substrates
US5937312A (en) * 1995-03-23 1999-08-10 Sibond L.L.C. Single-etch stop process for the manufacture of silicon-on-insulator wafers
US5844839A (en) * 1995-07-19 1998-12-01 Texas Instruments Incorporated Programmable and convertible non-volatile memory array
US5915167A (en) * 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
US6130422A (en) * 1998-06-29 2000-10-10 Intel Corporation Embedded dielectric film for quantum efficiency enhancement in a CMOS imaging device
US6683380B2 (en) * 2000-07-07 2004-01-27 Texas Instruments Incorporated Integrated circuit with bonding layer over active circuitry
FR2819099B1 (fr) * 2000-12-28 2003-09-26 Commissariat Energie Atomique Procede de realisation d'une structure empilee
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
JP4056854B2 (ja) * 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
CN100383936C (zh) * 2002-12-20 2008-04-23 国际商业机器公司 三维器件制造方法
KR100570514B1 (ko) * 2004-06-18 2006-04-13 삼성전자주식회사 웨이퍼 레벨 칩 스택 패키지 제조 방법
JP2006013576A (ja) 2004-06-22 2006-01-12 Epson Toyocom Corp Sawデバイスとこれを用いた装置
US7312487B2 (en) * 2004-08-16 2007-12-25 International Business Machines Corporation Three dimensional integrated circuit
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US20070122920A1 (en) * 2005-11-29 2007-05-31 Bornstein William B Method for improved control of critical dimensions of etched structures on semiconductor wafers
US20070207592A1 (en) * 2006-03-03 2007-09-06 Lu James J Wafer bonding of damascene-patterned metal/adhesive redistribution layers
US7648851B2 (en) * 2006-03-06 2010-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating backside illuminated image sensor
US7385283B2 (en) * 2006-06-27 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and method of making the same
US7566632B1 (en) * 2008-02-06 2009-07-28 International Business Machines Corporation Lock and key structure for three-dimensional chip connection and process thereof
US8399336B2 (en) * 2008-08-19 2013-03-19 International Business Machines Corporation Method for fabricating a 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US8298914B2 (en) * 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier

Also Published As

Publication number Publication date
US20120153429A1 (en) 2012-06-21
KR101332076B1 (ko) 2013-11-22
EP2313923A4 (en) 2013-02-20
US20100044826A1 (en) 2010-02-25
EP2313923B1 (en) 2018-11-21
KR20110042062A (ko) 2011-04-22
US8738167B2 (en) 2014-05-27
US8629553B2 (en) 2014-01-14
US20120149173A1 (en) 2012-06-14
JP2012500504A (ja) 2012-01-05
TWI514513B (zh) 2015-12-21
TW201017820A (en) 2010-05-01
EP2313923A1 (en) 2011-04-27
WO2010022163A1 (en) 2010-02-25
US8129256B2 (en) 2012-03-06

Similar Documents

Publication Publication Date Title
JP5285777B2 (ja) 3次元集積回路の製造方法及びプログラム
JP5435593B2 (ja) 3次元集積回路の製造方法及び記録媒体(インターフェース・ウエハを永久的キャリアとして使用する3次元集積回路デバイスの製造方法)
US8492869B2 (en) 3D integrated circuit device having lower-cost active circuitry layers stacked before higher-cost active circuitry layer
US9613921B2 (en) Structure to prevent solder extrusion
US8680689B1 (en) Coplanar waveguide for stacked multi-chip systems
US7928549B2 (en) Integrated circuit devices with multi-dimensional pad structures
US9455214B2 (en) Wafer frontside-backside through silicon via
US9236301B2 (en) Customized alleviation of stresses generated by through-substrate via(S)
CN104766828A (zh) 晶圆三维集成的方法
US8912091B2 (en) Backside metal ground plane with improved metal adhesion and design structures
Cognetti The impact of semiconductor packaging technologies on system integration an overview
CN103824828A (zh) 封装载板及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120329

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130419

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130531

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees