JP5216287B2 - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP5216287B2
JP5216287B2 JP2007244646A JP2007244646A JP5216287B2 JP 5216287 B2 JP5216287 B2 JP 5216287B2 JP 2007244646 A JP2007244646 A JP 2007244646A JP 2007244646 A JP2007244646 A JP 2007244646A JP 5216287 B2 JP5216287 B2 JP 5216287B2
Authority
JP
Japan
Prior art keywords
clock
flip
trunk line
buffer
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007244646A
Other languages
English (en)
Other versions
JP2009076709A (ja
Inventor
稔 本吉
康弘 藤村
茂 中原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2007244646A priority Critical patent/JP5216287B2/ja
Priority to US12/167,233 priority patent/US7612599B2/en
Publication of JP2009076709A publication Critical patent/JP2009076709A/ja
Application granted granted Critical
Publication of JP5216287B2 publication Critical patent/JP5216287B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本発明は半導体装置に関し、特にクロック信号の同期ずれを低減させたクロック分配回路を備えた半導体装置及びその設計方法に関する。
クロック信号に同期して動作する順序回路を含む大規模半導体集積回路(以下、LSIという)において、クロック分配により生じるクロック信号の同期ずれ(以下、クロックスキューという)は、LSIの動作周波数を直接低下させる要因の一つである。LSIの高速化に伴い、1マシンサイクルに占めるクロックスキューの割合が大きくなってきており、LSIの高速動作を実現するためには、クロックスキューの低減が必要である。
クロック分配回路としてはいくつかの回路形式が知られている。図1に示すのはHツリー型クロック分配回路である。Hツリーに代表される木構造型クロック分配は、各段のクロックバッファ負荷が完全に均等であれば理論上クロックスキュー0を実現することができることが知られている。しかし実際には、全クロック同期回路に対して木構造型クロックを均等設計することは困難であり、クロックバッファ駆動力ばらつきや配線寄生成分によりクロックスキューが発生する。
なお、発明完成後に、最終段バッファを縦列配置したフィッシュボーンクロックツリーという観点で公知例を調査した結果、特許文献1〜3が見出された。しかしながら、いずれもセル配置可能列と対応して規則的に最終段バッファが配置されている。
特開平6−260555号公報 特開平5−259414号公報 特開平5−73167号公報
全クロック同期回路に対して木構造型クロックを均等設計することは困難であるため、半導体チップを複数領域に分割し、Hツリーによるクロック分配を実施する。図1の例では、4×4の領域に分割しており、Hツリーは、初段クロックドライバ102−第2段クロックドライバ103−第3段クロックドライバ104で構成されている。この場合は第3段クロックドライバが最終段クロックドライバとなる。各最終段クロックドライバ104−iは対応する領域(サービスエリア)105−iに位置するフリップフロップにクロックを供給する。
LSIにおけるクロック分配の設計は一般的に自動配置配線(CTS:クロック・ツリー・シンセシス)で行われる。CTSでは、配線長、抵抗、容量を考慮し、クロックドライバ104からクロック分配されるフリップフロップ200までのクロックスキューが最小となるように配線経路をコンピュータで決定する方法である。この方式で生成されるクロックツリーをルーティッドツリーと呼ぶ。しかし、ルーティッドツリー方式によるクロック分配方式は、領域105にクロック分配されるフリップフロップ200がクロックドライバの駆動力に対して過剰である場合やフリップフロップ200が領域全面に分散している状態などでは、CTSでは領域周辺を迂回するような配線を選択するような場合がある。一方、設計段階においてクロックスキューの見積もりに用いられる仮想配線長はクロックドライバとフリップフロップとのマンハッタン長によって算出することが多く、この場合CTSで決定された実配線長が仮想配線長よりも大幅に上回る結果となり、設計不具合の原因になりうる。あるいは、クロック配線をメッシュ構造としてクロックスキューを最小化する手法もある(図2(b))。メッシュ構造は配線物量が増加するため、消費電力が増大する。また、一般信号の配線が困難になる場合があるという問題があった。
本発明の代表的なものの一例を示せば以下の通りである。複数の金属配線層を有する半導体装置であって、最終段クロックバッファを構成する複数のバッファ及び複数の最終段バッファのいずれかと接続される複数のクロック幹線を含むクロック分配回路と、複数のクロック幹線のいずれか一つからクロックを受ける複数のフリップフロップとを有し、複数のクロック幹線は第1の方向に延在し、第1の方向は、金属配線層に形成される最下層の電源幹線が延在する方向に等しく、隣接する電源幹線によりセル配置可能列が定義され、複数のクロック幹線は第1のクロック幹線と第2のクロック幹線とを含み、第1のクロック幹線からクロックを受ける複数の第1のフリップフロップが位置するセル配置可能列の数と第2のクロック幹線からクロックを受ける複数の第2のフリップフロップが位置するセル配置可能列の数が異なる。
クロック分配回路によって供給されるクロックのクロックスキューを低減する。
以下、本発明の実施の形態を図面を用いて詳細に説明する。図3に示すように、本発明においては、クロック分配回路の上流(クロックバッファ302まで)はHツリーでクロック分配を行い、最終段クロックバッファ303はローカルフィッシュボーン構造によるクロック分配を行う。最終段クロックバッファ303−iは、対応する領域(サービスエリア)304−iに位置するフリップフロップFFにクロックを供給する。
以下、最終段クロックバッファ303の配置配線方法について説明する。図4は、図3のクロック分配回路をレイアウトする場合の最初の状態を示している。最終段の一つ前のクロックバッファ302までは、Hツリー構成によるクロックバッファを配置する。また、クロック配線は他の信号線からの影響を防止するために、クロック配線の両側にシールド配線を設けることが望ましい。
図4に示すように、初期配置では、最終段クロックバッファ303−iはそれぞれ複数のサブブロックM2から構成され、サブブロックM2は各サービスエリア303内に縦列に均等配置される。最終段クロックバッファ303−iとして初期配置でサブブロックM2を図4のように均等配置した場合、対応するサービスエリア304−iの全てにフリップフロップが敷き詰められた場合でも、供給可能な駆動能力を有するようにサブブロックM2を構成するクロックバッファの駆動能力を決めておく。もちろん別の基準によってサブブロックM2の駆動能力の基準を決めてもよい。
レイアウト工程において、自動配置前の段階では最終段クロックバッファを含む全クロックバッファの配置および最終段の一つ前のクロックバッファ302までのクロック配線は行うが、最終段の一つ前のクロックバッファ302と最終段クロックバッファ303間のクロック配線331〜334は実施しない。自動配置処理を実施して、フリップフロップの配置が決定した時点で最終段クロックバッファ303を構成するサブブロックM2の配置の確定及びサブブロックM2とフリップフロップ間の結線を行い、その後、サブブロックM2と最終段の一つ前のクロックバッファ302を構成するサブブロックM1との間の結線を行う。サブブロックM1とサブブロックM2間のクロック配線についても他の信号線からの影響を防止するために、クロック配線の両側にシールド配線を設けることが望ましい。
サブブロックM2〜フリップフロップ間配線は、ローカルフィッシュボーン構造となるように配線を行う。ここでローカルフィッシュボーン構造とは、図5に示すように、最終段クロックバッファM2と接続されるフリップフロップ(領域501に含まれるフリップフロップ)のY方向の分布の重心に、X方向のクロック幹線502を配し、クロック幹線502と直行する配線503によってクロック幹線502とフリップフロップとを接続を行う構造をいう。ローカルフィッシュボーン構造では、クロックバッファ駆動力とクロックバッファ−フリップフロップ間のマンハッタン距離を仮想配線長として計算される配線遅延・負荷遅延と実配線後の配線遅延・負荷遅延との誤差が最小化されるため、仮想配線長と実配線長の乖離による設計不良の発生を抑止できる。
自動配置が終了したサブブロックM2付近のサービスエリアのスタンダードセル配置を示したものが図6である。ただし、セルとしては、サブブロックM2とフリップフロップFF1、FF2のみを示し、配置される各種のセルについては示していない。電源幹線(金属配線)VDD1〜4/VSS1〜3は最下層の電源幹線を示している。隣接する電源幹線VDD(高電位側電源幹線)と電源幹線VSS(低電位側電源幹線)にはさまれた領域がセル配置可能列ROW1〜6である。このセル配置可能列ROWにセルが配置される。
初期配置において、2ROWおきに(図の例ではROW2、ROW4、ROW6)サブブロックM2が配置されている。また、図6の例では2系統のクロックが使用されることを想定し、クロックCK1で動作するフリップフロップFF1とクロックCK2で動作するフリップフロップFF2とが混在している。このように2系統のクロックが使用される場合には、上流の(すなわちHツリーで構成される)クロック分配回路を構成するクロックバッファはそれぞれクロックCK1を駆動するクロックバッファとクロックCK2を駆動するクロックバッファに分けられ、対応するクロックバッファ同士が配線されている。これに対して、この初期配置においては、サブブロックM2がどちらのクロックを駆動するかは定まっていない。なお、このサブブロックM2は、実回路としては低い駆動能力の複数のバッファ群によって構成されるものとする。以下、2つのバッファによりサブブロックM2が構成されているものとして説明を続ける。
サブブロックM2は、自動配置後、サブブロック繰り返し間隔(この例では2ROW)を縦辺とする矩形内に分布するフリップフロップを必要なクロック毎に分類する。図6の例ではサブブロック601に対応する矩形611に含まれるフリップフロップを、サブブロック602に対応する矩形612に含まれるフリップフロップを、サブブロック603に対応する矩形613に含まれるフリップフロップを分類する。その様子をサービスエリア304−1について示したものが図7である。矩形701〜705それぞれについて、図5に示すようなローカルフィッシュボーン構造を想定した負荷容量を計算し、遅延が目標範囲内に収まるか判定する。図8がクロック分配例である。1つのバッファの駆動力では矩形内のフリップフロップに供給するための駆動能力が不足する場合、同じサブブロックに属するバッファの出力をショートさせる(801)。なお、初期配置で矩形内が全て同じクロックが供給されるフリップフロップが密集した場合でも十分な駆動能力が確保されるよう、バッファの駆動力を決めておくことで、サブブロックをまたがってバッファ出力をショートさせる必要はなくなる。逆に、1つのバッファでも駆動力が過剰な場合、隣接矩形を統合する(802)。統合矩形802はクロックCK1に対応するフリップフロップに対して矩形703〜705を統合したものである。矩形の統合を行う場合、隣接する2つの矩形でフリップフロップの分類を行ってローカルフィッシュボーン幹線を設定し、幹線最近傍のバッファでローカルフィッシュボーン幹線を駆動した場合の遅延計算を実施する。最近傍クロックバッファでは駆動力が過剰な場合、幹線に次に近い領域内のバッファでローカルフィッシュボーン幹線を駆動した場合の遅延計算を実施する。以上の操作を全フリップフロップへのクロック分配が完了するまで繰り返す。どのクロックにも割り当てられない最終段クロックバッファは削除し、容量セルやタイミング収束工程におけるバッファ領域として利用する。
矩形領域701ではクロックCK1を受けるフリップフロップが集中しているため、2つのバッファの出力をショートし(801)、矩形領域701内のフリップフロップにクロックCK1を分配する。矩形領域702ではクロックCK1を受けるフリップフロップとクロックCK2を受けるフリップフロップとがほぼ均等に分布しているため、矩形領域702のバッファをそれぞれ一つずつクロックCK1とクロックCK2とに割り当てている。矩形領域703〜705は、クロックCK1を受けるフリップフロップに関して、それぞれ単独の矩形領域ではクロック供給能力が過剰となるため、矩形領域の統合を実施している(802)。先に説明した遅延評価の結果、クロックCK1には矩形領域703のバッファ一つが割り当てられ、クロックCK2には矩形領域704のバッファ一つが割り当てられている。
なお、ローカルフィッシュボーン幹線は低抵抗であることが望ましいことから、複数の配線層のうち、比較的上の配線層を用いることが望ましい。また、各ローカルフィッシュボーン幹線は同じ配線層に配置する。容量をそろえるためである。
サブブロックM2(最終段クロックバッファに対応)とサブブロックM1(最終段の一つ前のクロックバッファに対応)との結線について説明する。図7、図8では図示しなかったが、初期配置の段階で、サブブロックM1とサブブロックM2間にクロック幹線は配置されているが、サブブロックM1出力およびサブブロックM2の入力は結線されていない。ここでサブブロックM1も、比較的低い駆動力のバッファで構成されており、その駆動力の大きさは、サービスエリア304−1内に配置可能なサブブロックM2のバッファ群が全て同一クロックに割り当てられた場合でも、サブブロックM1のバッファ出力をショートすることで充分な駆動力を確保できるように設定されてある。クロック分配が完了し、最終段クロックバッファへのクロック割り当てが決定した段階で必要なサブブロックM1の駆動力の計算を行い、サブブロックM1とサブブロックM2の間のクロック幹線に対してそれぞれの入出力を結線する。
図9にサブブロックM1−サブブロックM2間のクロック配線の例を示す。クロック分配処理によりサービスエリア304−1の最終段クロックバッファとして4つのバッファがクロックCK1に、2つのバッファがクロックCK2に割り当てられ、その他のクロックバッファは削除されている。最終段クロックバッファを構成するバッファ数により、サブブロックM1に必要な駆動力が決定される。図9の例では、クロックCK1に対し2つのバッファが、クロックCK2に対し1つのバッファが割り当てられる。2つのクロックCK1に対応するバッファは、クロックCK1に対応するクロック幹線にショート配線される。
なお、最終段の一つ前のクロックバッファ(すなわち、サブブロックM1)は初期配置時にHツリーを構成しているため、負荷容量を一定にする必要がある。そのため、サブブロックM1の未使用バッファは削除せず、出力オープンの状態とする。また、サブブロックM2の出力は、クロック分配処理決定時に利用したローカルフィッシュボーン幹線と結線する。さらにローカルフィッシュボーン幹線とフリップフロップとの配線は、自動配線ツールを用いて行うが、予め幹線が埋め込まれているため配線迂回のポテンシャルは最小化され、目的としたクロックスキューを得ることが出来る。
図10は、これまで説明したクロック分配回路の設計方法を用いて得られるクロック分配回路を有する半導体装置1001を示すものである。図10(a)はクロック分配回路を示したものである。半導体装置1001において、最終段の1つ前のクロックバッファまではHツリー型のクロック分配回路として構成され、最終段クロックバッファはローカルフィッシュボーン型のクロック分配回路として構成される。領域1002は、最終段クロックバッファがクロックを供給するサービスエリアである。一方、図10(b)は最下層の電源幹線(金属配線)を示したものである。図6に示したように、Y方向に隣接するセルで電源幹線は共有されるが、図10(a)とあわせる都合上、セルは小さく描かれている。図10(a)及び図10(b)から示されるように、本発明のローカルフィッシュボーン幹線は規則的なセル配置可能列とは対応していない。例えば、バッファ1003の接続されるクロック幹線(ローカルフィッシュボーン幹線)は、2つのセル配置可能列に配置されたフリップフロップにクロックを供給する(図10(b)の1005を参照)。一方、バッファ1004の接続されるクロック幹線(ローカルフィッシュボーン幹線)は、4つのセル配置可能列に配置されたフリップフロップにクロックを供給する(図10(b)の1006を参照)。このようにクロック幹線が接続されるフリップフロップのセル配置可能列の数は一定値ではない。このことはすなわち、複数のクロック幹線において、隣接するクロック幹線の間隔のばらつきは、隣接する電源幹線の間隔のばらつきよりも大きくなることを意味している。
図11に本発明の半導体装置の設計フローを示す。フロアプラン(S100)後、クロックバッファの配置を行う(S101)。クロックバッファ配置がマクロセルと重なった場合、仮想配線長から等ディレイ・等スキューとなるように配置移動を行う(S102)。移動結果が目標性能を下回る場合は、フロアプランの再検討を行う(S104)。クロックバッファ配置決定後、クロックバッファ間の配線を行う(S105)。クロックバッファ間配線は、両側にシールド配線を設け、配線抵抗が一定となるように設計する。この段階では、最終段とその一つ前のクロックバッファ間は、幹線は配置するが結線は行わない。実配線による容量値に基づき再度クロックスキューの見積もりを行い、目標未達の場合は、再配線もしくは再配置を行う。目標達成時には、自動配置処理を行い、LSI構成セルの全配置を決定する(S107)。フリップフロップ配置情報を基にクロック分配処理を行い、最終段及びその一つ前のクロックバッファ振り分けを決定する(S108)。このとき、不要な最終段クロックバッファに削除も実施する。最終段とその一つ前のクロックバッファ間のクロック幹線への結線処理およびローカルフィッシュボーン幹線の追加を行った後(S109)、自動配線処理を実施する(S110)。自動配線後、STAによるタイミング解析処理を行う(S112)。
Hツリー構造のクロック分配回路を示す図である。 図2(a)はルーティッドツリーによる最終段クロックバッファからのクロック分配方式を、図2(b)はメッシュによる最終段クロックバッファからのクロック分配方式を示す図である。 本発明のクロック分配回路の構成を示す図である。 本発明のクロック分配回路を設計する際の初期配置を示す図である。 本発明におけるローカルフィッシュボーン幹線を示す図である。 図4に示した初期配置の状態に対応するスタンダードセル配置を示す図である。 フリップフロップ自動配置後の状態を示す図である。 図7のフリップフロップに対して最終段クロックバッファからクロック分配を行った状態を示す図である。 最終段クロックバッファと最終段の一つ前のクロックバッファとの結線状態を示す図である。 図10(a)は本発明のクロック分配回路を有する半導体装置を示す図であり、図10(b)は本発明における電源幹線の配置状態を示す図である。 本発明の半導体装置の設計フローを示す図である。
符号の説明
LSI…大規模集積回路、FF…フリップフロップ回路、STA…静的タイミング解析、303…最終段クロックバッファ、304…最終段クロックバッファ群クロック供給領域(サービスエリア)。

Claims (3)

  1. 複数の金属配線層を有する半導体装置であって、
    前記クロックは第1のクロックと、前記第1のクロックとは系統の異なる第2のクロックとを有し、
    複数の最終段クロックバッファのいずれかと結線される複数個の第1のクロック幹線および複数個の第2のクロック幹線を含むクロック分配回路と、
    前記第1または第2のクロック幹線のいずれか一つからクロックを受ける複数のフリップフロップから、それぞれがなる第1および第2のフリップフロップ群とを有し、
    前記第1のクロック幹線には前記第1のクロックが供給され、前記第2のクロック幹線には前記第2のクロックが供給され、
    上記複数個の前記第1および前記第2のクロック幹線は第1の方向に延在し、
    上記第1の方向は、上記金属配線層に形成される最下層の第1の電源幹線VDDと第2の電源幹線VSSが延在する方向に等しく、
    隣接し、かつ、平面的にみて平行な2つの上記第1および前記第2の電源幹線の間の領域にセル配置可能列が定義され、
    上記第1のクロック幹線から上記第1のクロックを受ける複数の第1のフリップフロップが位置するセル配置可能列の数と上記第2のクロック幹線から上記第2のクロックを受ける複数の第のフリップフロップが位置するセル配置可能列の数が異なり、
    前記最終段クロックバッファとして複数個のサブブロックが前記第1の方向と直交する方向に複数個設けられ
    一の前記第1のクロック幹線に結線された前記第1のフリップフロップ群が対応する第1の前記サブブロックに属する第1および第2のバッファをショートさせ、かつ、それを介して、前記第1のクロックを前記一の第1のクロック幹線を介して前記第1のフリップフロップ群に供給し、
    前記一の前記第1のクロック幹線とは別個の前記第1のクロック幹線に結線された前記第2のフリップフロップ群が対応する第2の前記サブブロックに属する第3のバッファのみから前記第1のクロックを前記別個の前記第1のクロック幹線を介して、前記第2のフリップフロップ群に供給することを特徴とする半導体装置。
  2. 請求項1において、
    上記第1のクロック幹線は、上記複数の第1のフリップフロップの上記第1の方向に直交する第2の方向の分布の重心に位置し、
    上記第2のクロック幹線は、上記複数の第2のフリップフロップの上記第2の方向の分布の重心に位置する半導体装置。
  3. 請求項1において、
    上記クロック分配回路は、初段クロックバッファから上記最終段の一つ前のクロックバッファはHツリー構造を有する半導体装置。
JP2007244646A 2007-09-21 2007-09-21 半導体装置 Expired - Fee Related JP5216287B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2007244646A JP5216287B2 (ja) 2007-09-21 2007-09-21 半導体装置
US12/167,233 US7612599B2 (en) 2007-09-21 2008-07-02 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007244646A JP5216287B2 (ja) 2007-09-21 2007-09-21 半導体装置

Publications (2)

Publication Number Publication Date
JP2009076709A JP2009076709A (ja) 2009-04-09
JP5216287B2 true JP5216287B2 (ja) 2013-06-19

Family

ID=40470981

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007244646A Expired - Fee Related JP5216287B2 (ja) 2007-09-21 2007-09-21 半導体装置

Country Status (2)

Country Link
US (1) US7612599B2 (ja)
JP (1) JP5216287B2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8166429B1 (en) * 2008-10-17 2012-04-24 Altera Corporation Multi-layer distributed network
JP6242228B2 (ja) * 2014-02-05 2017-12-06 株式会社メガチップス クロック生成方法およびクロック生成回路
WO2017151681A1 (en) * 2016-02-29 2017-09-08 Synopsys, Inc. Creating and reusing customizable structured interconnects
JP6978691B2 (ja) 2016-10-17 2021-12-08 株式会社ソシオネクスト 半導体集積回路装置
US10939541B2 (en) * 2017-03-31 2021-03-02 Huawei Technologies Co., Ltd. Shield structure for a low crosstalk single ended clock distribution circuit
US10444786B2 (en) * 2018-01-26 2019-10-15 Mediatek Singapore Pte. Ltd. Mesh-based clock distribution for low power and high speed synchronized applications
US10775435B1 (en) * 2018-11-01 2020-09-15 Cadence Design Systems, Inc. Low-power shift with clock staggering
US10868524B2 (en) 2018-12-13 2020-12-15 Samsung Electronics Co., Ltd. Semiconductor circuit and semiconductor circuit layout system

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3026387B2 (ja) * 1991-08-23 2000-03-27 沖電気工業株式会社 半導体集積回路
JPH0573167A (ja) 1991-09-17 1993-03-26 Nec Corp 半導体論理集積回路
JPH05259414A (ja) 1992-03-09 1993-10-08 Oki Electric Ind Co Ltd ゲートアレイ
JPH06260555A (ja) 1993-03-03 1994-09-16 Nec Corp 半導体集積回路
JP3556416B2 (ja) * 1996-11-29 2004-08-18 株式会社ルネサステクノロジ 半導体集積回路装置
JPH11119854A (ja) * 1997-10-20 1999-04-30 Fujitsu Ltd クロックパス生成方法および装置
JP3555077B2 (ja) * 2000-03-30 2004-08-18 Necエレクトロニクス株式会社 プログラマブルロジックデバイスのクロック分配回路
US6559701B1 (en) * 2001-06-26 2003-05-06 Lsi Logic Corporation Method to reduce power bus transients in synchronous integrated circuits
JP2005129867A (ja) * 2003-10-27 2005-05-19 Sony Corp 半導体集積回路装置

Also Published As

Publication number Publication date
JP2009076709A (ja) 2009-04-09
US7612599B2 (en) 2009-11-03
US20090079488A1 (en) 2009-03-26

Similar Documents

Publication Publication Date Title
JP5216287B2 (ja) 半導体装置
CN110226227B (zh) 集成电路的电网布局设计
EP1129486B1 (en) Integrated circuit power and ground routing
US8219965B2 (en) Layout design method of semiconductor integrated circuit including regenerating a cell layout to set first and second distances and generating library data
US20120241986A1 (en) Pin Routing in Standard Cells
JP4860123B2 (ja) デカップリング容量の配置方法
US7800409B2 (en) Logic block, a multi-track standard cell library, a method of designing a logic block and an asic employing the logic block
US20090113370A1 (en) Layout designing method for semiconductor device and layout design supporting apparatus for the same
US8089299B1 (en) Integrated circuit with through-die via interface for die stacking and cross-track routing
KR100313620B1 (ko) 브이엘에스아이칩및그설계방법
US8187924B2 (en) Method, design program and design support device for semiconductor integrated circuit, and semiconductor integrated circuit
US9032353B2 (en) Method and system for three-dimensional layout design of integrated circuit elements in stacked CMOS
JP3747968B2 (ja) 集積回路装置
US6892370B2 (en) Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
US6477696B2 (en) Routing definition to optimize layout design of standard cells
US6184711B1 (en) Low impact signal buffering in integrated circuits
JP2007081220A (ja) 半導体集積回路およびそのレイアウト設計方法
CN110392922B (zh) 半导体集成电路装置
US7231625B2 (en) Method and apparatus for use of hidden decoupling capacitors in an integrated circuit design
US9070684B2 (en) Integrated circuit power grid with improved routing resources and bypass capacitance
JPS5911670A (ja) 半導体集積回路装置
US11217528B2 (en) Semiconductor structure having buried power rail disposed between two fins and method of making the same
US20070033565A1 (en) Basic cell of semiconductor integrated circuit and layout method thereof
KR19990078042A (ko) 반도체소자의배치/배선방법및칩크기를감소시키면서실제소자의오동작을방지할수있는반도체소자배치/배선장치
JP2004055873A (ja) 半導体集積回路装置およびその設計方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121023

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130304

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160308

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees