JP5199104B2 - 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス - Google Patents

二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス Download PDF

Info

Publication number
JP5199104B2
JP5199104B2 JP2008537750A JP2008537750A JP5199104B2 JP 5199104 B2 JP5199104 B2 JP 5199104B2 JP 2008537750 A JP2008537750 A JP 2008537750A JP 2008537750 A JP2008537750 A JP 2008537750A JP 5199104 B2 JP5199104 B2 JP 5199104B2
Authority
JP
Japan
Prior art keywords
region
dielectric
gate
semiconductor structure
threshold voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008537750A
Other languages
English (en)
Other versions
JP2009514218A (ja
Inventor
カーター、エドワルド、エー
コーペル、マシュー、ダブリュー
フランク、マーティン、エム
グーセフ、エフゲニー、ピー
ジャミソン、ポール、シー
ジャミー、ラジャラオ
リンダー、バリー、ピー
ナラヤナン、ビジャ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009514218A publication Critical patent/JP2009514218A/ja
Application granted granted Critical
Publication of JP5199104B2 publication Critical patent/JP5199104B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28238Making the insulator with sacrificial oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、半導体構造体に関し、より具体的には、SiOのものより大きい誘電率及び50%を超えるGe又はSi含有量を有する誘電体材料と、材料スタック工学処理(engineering)によって閾値電圧/フラットバンド電圧を調整するための少なくとも1つの他の手段とを含む、相補型金属酸化膜半導体(CMOS)構造体、特にpFETに関する。本発明において考慮される他の手段は、例えば、電荷を固定するために誘電体の上に絶縁中間層を用いること、及び/又は、工学処理されたチャネル領域を形成することを含む。本発明はまた、このような半導体構造体を製造する方法にも関する。
標準的なシリコン相補型金属酸化膜半導体(CMOS)技術においては、p型電界効果トランジスタ(pFET)は、二酸化シリコン又は酸窒化シリコン・ゲート誘電体層の上に堆積されたゲート電極として、ホウ素(又は他のアクセプタ)でドープされたp型ポリシリコン層を用いるものである。このポリシリコン層を通してゲート電圧が印加されて、ゲート誘電体層の下のn型シリコン内に反転チャネルが形成される。
pFETを適切に動作させるために、ポリシリコン(ポリ−Si)ゲート電極に印加された僅かな負の電圧において反転が起こり始めるようにすべきである。このことは、図1に示されるようなゲートスタック構造体のバンドアライメントの結果として起こる。具体的には、図1は、ゲートバイアスゼロにおける典型的なpFETのポリ−Si/ゲート酸化物のゲートスタックにわたる適切なバンドアライメントを示す。図1において、E、E及びEは、それぞれ、シリコン内の伝導帯エッジ、価電子帯エッジ及びフェルミ準位である。ポリ−Si/ゲート酸化物/n型シリコンスタックは、0V付近において反対側に、+1V付近において蓄積側に振れるキャパシタを形成する(基板のドーピングに応じて)。したがって、反転が起こり始める電圧と解釈することができる閾値電圧Vtは、約0Vであり、キャパシタが蓄積側に振れ始める電圧を丁度超えた電圧であるフラットバンド電圧は、約+1Vである。閾値電圧及びフラットバンド電圧の正確な値は、シリコン基板内のドーピングレベルに依存し、適切な基板ドーピングレベルを選択することによってある程度変化させることができる。
将来の技術においては、二酸化シリコン又は酸窒化シリコン誘電体は、より高い誘電率を有するゲート材料と置き換えられる。これらの材料は「高k」材料として知られており、「高k」という用語は、その誘電率が4.0より高い、好ましくは約7.0より高い絶縁材料を示す。特に指定のない限り、ここで述べられる誘電率は、真空に対するものである。種々の可能性の中でも、酸化ハフニウム、ハフニウムシリケート、又は酸窒化ハフニウムシリコンは、高温での優れた熱安定性のために、従来のゲート誘電体に対する最も適当な置換候補とすることができる。
残念なことに、酸化ハフニウム又はハフニウムシリケートのような誘電体を用いてp型電界効果トランジスタが製造されるとき、デバイスのフラットバンド電圧が、約+1Vに近い理想的な位置から約0+/−300mVにシフトされる問題がよく知られている。このフラットバンド電圧におけるシフトは、非特許文献1に公表されている。結果として、デバイスの閾値電圧は、約−1Vにシフトされる。この閾値電圧のシフトは、Hfベースのゲート酸化物層とポリシリコン層との間の密接な相互作用によるものであると考えられる。1つのモデル(例えば、C.Hobbs他による上記文献を参照されたい)は、そうした相互作用によって、ポリシリコン−ゲート酸化物界面におけるシリコンバンドギャップの状態の密度が増加されて、「フェルミ準位ピンニング(Fermi level pinning)」が引き起こされると推測する。或いは、高k誘電体における酸素欠損により電荷が固定され、閾値電圧を動かすことがある。したがって、閾値電圧は、「正しい」位置になく、すなわち、閾値電圧は、使用可能なCMOS(相補型金属酸化膜半導体)技術には高すぎるものである。
上記の閾値電圧シフトの問題に対する1つの可能な解決策は、チャネル注入を用いて閾値をシフトさせることができる基板工学処理によるものである。基板工学処理は、閾値電圧シフトを安定化させるための1つの可能な手段ではあるが、それができるのは限られた範囲までであり、ポリ−Siゲート電極とハフニウム含有の高誘電率ゲート誘電体とからなるゲートスタックを含むFET、特にpFETには不適当である。
上述の閾値電圧シフトの問題に対する別の可能な解決策は、例えば、特許文献1、特許文献2、及び特許文献3に記載されるように、導電性材料と誘電体材料の間に絶縁中間層を準備することによるものである。
上記の閾値電圧シフトの問題に対するさらに他の可能な解決策は、誘電体材料のSi含有量を増加させることである。この解決策は、非特許文献2、非特許文献3、非特許文献4、非特許文献5及び非特許文献6によって説明された。
米国特許出願番号第10/845,719号 米国特許出願番号第10/863,830号 米国特許出願番号第11/035,889号 米国特許第6,541,079号 C.Hobbs他著、名称「Fermi Level Pinning at the Poly−Si/Metal Oxide Interface」、2003 Symposium on VLSI Technology Digest of Technical Papers E.Cartier他著、名称「Systematic study of pFET Vt with Hf−based stacks with poly−Si and FUSI gates」、2004 Symposium on VLSI Technology Digest of Technical Papaers、2004 IEEE、pp.44−45 C.Hobbs他著、名称「Factors Influencing the Threshold Voltages of Metal Oxide CMOS Devices」、ECS2004 W.Deweerd他著、名称「Potential remedies for the VT/Vfb−shift problem of Hf/polysilicon−based gate stacks:a solution−based survey」、Microelectronics Reliability25、(2205)、pp.786−789 C.Hobbs他著、名称「Fermi Level Pinning at the PolySi/Metal oxide Interface」、2003 Symposium on VLSI Technology Digest of Technical Papers、2003 IEEE C.Hobbs他著、名称「Fermi−Level Pinning at the Polysilicon/Metal Oxide Interface−Part I」、IEEE Transactions on Electron Devices、第51巻、第6、2004年6月、pp.971−977
閾値電圧シフトの問題を改善するために種々の技術が単独で用いられたが、依然としてさらなる改善が必要とされる。例えば、HfO及び低Si含有量(50%を下回るSi含有量)のHfSiO誘電体の上に堆積されるAl(O)Nのような絶縁中間層を使用することにより、Vが0.35Vまで改善された。残りのキャップを架橋して合計0.6Vをもたらすことができる付加的な方法が必要とされ、ポリSi及びHfOを含むゲートスタック又は低Si含有量のHfSiOについて、全体の大きさVが観察された。高Si含有量の誘電体を単独で用いるときも、同様の方法を必要とする。注入チャネル工学処理は、閾値電圧を動かすこともできるが、そのことが性能の低下及び/又は短チャネル効果の増大をもたらすことがある。
現在に至るまで、従来技術は、上述の閾値電圧シフトを低減させるために、単一の手段のみが用いられている。本発明の出願人は、高いSi又はGe含有量を有する高k誘電体を絶縁中間層と組み合わせることによって、及び/又は、チャネル工学処理によって、閾値電圧及びフラットバンド電圧のシフトがほとんど排除されることを明らかにした。
上述のように、本発明は、50%を超えるSi又はGe含有量を有する高k誘電体を、材料スタックの工学処理によって閾値電圧/フラットバンド電圧を調整するための少なくとも1つの他の手段と組み合わせることによって、閾値電圧及びフラットバンド電圧の変動を解決するものである。本発明において考慮される他の手段は、例えば、電荷を固定するために誘電体の上に絶縁中間層を用いること、及び/又は、工学処理されたチャネル領域を形成することを含む。「高k誘電体」という用語は、本出願全体にわたって、SiOのものより大きい誘電率を有する誘電体材料を示すように用いられる。すなわち、本発明に用いられる高k誘電体は、高いSi又はGe含有量を必要とすることに加えて、4.0より大きい誘電率を有し、別に指定がない限り、ここに記録される全ての誘電率は、真空に対するものである。
大まかに言うと、本発明は、
ソース及びドレイン拡散領域が内部に配置された半導体基板と、
半導体基板の上に配置されたゲートスタックであって、50%を超えるSi又はGe含有量を有する高kゲート誘電体、及び、シリコン(Si)又はゲルマニウム(Ge)含有ゲート導体を含む、ゲートスタックと、
高kゲート誘電体とSi又はGe含有ゲート導体との間に配置された絶縁中間層、ソース拡散領域とドレイン拡散領域との間に配置された工学処理されたデバイスチャネル、又はそれらの組み合わせを含む少なくとも1つの要素であって、高kゲート誘電体及び少なくとも1つの要素は、ゲートスタックの閾値電圧/フラットバンド電圧を目標値になるように安定化させる、少なくとも1つの要素と
を含む半導体構造体を提供する。
本発明の1つの非常に好ましい実施形態において、半導体構造体は、ソース及びドレイン拡散領域が内部に配置された半導体基板であって、ソース及びドレイン拡散領域はデバイスチャネルによって分離される、半導体基板と、デバイスチャネルの上に配置されたゲートスタックであって、ゲートスタックは、50%を超えるSi又はGe含有量を有する高kゲート誘電体、絶縁中間層、及びシリコン(Si)又はゲルマニウム(Ge)含有ゲート導体を含み、絶縁中間層は、高kゲート誘電体とSi又はGe含有導体との間に配置され、高k誘電体及び絶縁中間層の両方は、ゲートスタックの閾値電圧/フラットバンド電圧を目標値になるように安定化させる、ゲートスタックとを含む半導体構造体が提供される。
上述の種々の実施形態において、高kゲート誘電体は、典型的には、これらに限られるものではないが、HfSiO、HfSiON、HfGeO、又はHfGeONのようなHfベースのゲート誘電体である。
本発明の別の態様において、閾値電圧及びフラットバンド電圧が改善された半導体構造体を形成する方法が提供される。この方法は、
半導体基板上に構造体を準備するステップであって、構造体は、50%を超えるSi又はGe含有量を有する高kゲート誘電体、及び、Si又はGe含有ゲート導体を含むゲートスタックと、高kゲート誘電体とSi又はGe含有ゲート導体との間に配置された絶縁中間層、工学処理されたデバイスチャネル、又はそれらの組み合わせを含む少なくとも1つの要素とを含む、ステップと、
周知の手段によってゲートスタックにバイアスをかけるステップと
を含む。
ここで、低閾値電圧及びフラットバンド・シフトを有するFETのような半導体構造体、並びに、これを製造する方法を提供するものである本発明を、以下の説明においてより詳細に説明する。以下の説明においては、例示を目的として与えられる特定の図面について参照されることに留意されたい。図面は例示の目的のために与えられるので、縮尺どおりには描かれてはいない。
本発明は、高k誘電率及び高いSi又はGe含有量(50%を超える)を有する誘電体と、例えば、誘電体と該誘電体の上にある導体の間に絶縁中間層を組み込む等といった閾値電圧を調整するための少なくとも1つ他の手段とを用いることによって、或いは、チャネル工学処理(すなわち、カウンタードープ及び/又はハロ・ドーピング調整)によって、半導体デバイス、特にpFETの閾値電圧制御を提供するものであることが強調される。以下の説明においては、FETが説明され、示される。このような説明がなされるが、本発明はまた、キャパシタのような他の半導体構造体を形成する際に、同じ基本的処理ステップ及び材料を用いることができることも考える。
本発明の第1の実施形態において、閾値電圧制御が、高いSi又はGe含有量を有する高k誘電体と絶縁中間層とを用いることによって達成される。本発明のこの実施形態は、最初に、図2に示される積層構造体を準備することで開始する。図示されるように、構造体は、半導体基板12と、半導体基板12の表面上に配置された随意的な自然酸化物層14と、存在する場合には随意的な自然酸化物層14の表面上に又は随意的な自然酸化物層14が存在しない場合には半導体基板12の表面上に配置された、50%を超えるSi又はGe含有量を有する高k誘電体20と、誘電体20上に配置された絶縁中間層22と、絶縁中間層22上に配置されたSi又はGe含有導体24とを含む。「自然酸化物(native oxide)」という用語は、ここでは主として半導体基板12内に含まれるタイプのカチオンを含有する、いずれかの酸化物、窒化物、又は酸窒化物材料を示すように用いられる。
図2に示される構造体は、最初に、半導体基板12の表面上に、随意的な自然酸化物層14、誘電体20、絶縁中間層22及びSi又はGe含有ゲート導体24のブランケット層を準備することによって形成される。本発明のこの実施形態によると、絶縁中間層22は、高k誘電体20とSi又はGe含有導体24との間に配置される。
本発明に用いられる半導体基板12は、これらに限られるものではないが、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP及び他の全てのIII/V族又はII/VI族化合物半導体を含む任意の半導体材料を含む。半導体基板12はまた、有機半導体、或いは、Si/SiGe、シリコン・オン・インシュレータ(SOI)、又はシリコンゲルマニウム(SiGe)オン・インシュレータ(SGOI)等の積層半導体を含むことができる。本発明の幾つかの実施形態においては、半導体基板12は、Si含有半導体材料、すなわちシリコンを含む半導体材料で構成されることが好ましい。半導体基板12は、ドープされていても、ドープされていなくてもよいし、或いは、ドープ領域と非ドープ領域を含んでもよい。
半導体基板12はまた、第1ドープ(n−又はp−)領域と、第2ドープ(n−又はp−)領域とを含むことができる。明瞭にするために、本出願の図面中にドープ領域は具体的に示されていない。第1ドープ領域及び第2ドープ領域は、同一のものであってもよいし、又はそれらは異なる導電率及び/又はドーピング濃度を有するものであってもよい。これらのドープ領域は、「ウェル」として知られている。
次に、典型的には、半導体基板12内に少なくとも1つの分離領域(図示せず)が形成される。分離領域は、トレンチ分離領域又はフィールド酸化物分離領域とすることができる。トレンチ分離領域は、当業者には周知の従来のトレンチ分離工程を用いて形成される。例えば、トレンチ分離領域を形成するために、リソグラフィ、エッチング及びトレンチ誘電体によるトレンチの充填を用いることができる。随意的には、トレンチの充填前にトレンチにライナを形成することができ、トレンチの充填後に緻密化ステップを行うことができ、同様にトレンチ充填に続いて平坦化処理を行うこともできる。いわゆるシリコンの局所的酸化処理(local oxidation of silicon process)を用いてフィールド酸化物を形成してもよい。少なくとも1つの分離領域は、典型的には、隣接するゲートが反対の導電性をもつときに要求される分離を隣接するゲート領域間に与えることに留意されたい。隣接するゲート領域は、同じ導電性(すなわち、両方がn型又はp型)をもつことができ、或いは代替的に、それらが異なる導電性(すなわち、一方がn型で他方がp型)を有することもできる。
半導体基板12を処理した後、随意的に、半導体基板12の表面上に随意的な自然酸化物層14が形成される。随意的な自然酸化物層14は、例えば、酸化又は酸窒化を含む当業者には周知の従来の成長技術を用いて形成される。基板12がSi含有半導体である場合には、自然酸化物層14は、酸化シリコン、酸窒化シリコン、又は窒化シリコン酸化物からなる。自然酸化物層14の厚さは、典型的には、約0.1nmから約1.2nmまでであり、約0.5nmから約1nmまでの厚さがより典型的である。しかしながら、通常CMOS製造中に必要とされるより高温での処理の後、厚さが異なることがある。
次に、構造体の表面上に、50%を超えるSi又はGe含有量を有する高k誘電体20(以下、「誘電体20」)が形成される。FETのゲート誘電体又は2つのキャパシタ電極間の誘電体として働くことができる誘電体20は、例えば、酸化、窒化、又は酸窒化のような熱成長工程によって形成することができる。代替的に、誘電体20は、例えば、化学気相堆積(CVD)、プラズマ支援CVD、有機金属化学気相堆積(MOCVD)、原子層蒸着(ALD)、蒸着、反応性スパッタリング、化学溶液堆積及び他の同様の堆積工程によって形成することができる。誘電体20はまた、上記の工程の任意の組み合わせを用いて形成することができる。
誘電体20は、約4.0(すなわち、SiOの誘電率)より大きい誘電率、好ましくは7.0より大きい誘電率と、50%を超えるSi又はGe含有量、好ましくは約60%から約90%までのSi又はGe含有量とを有する絶縁材料からなる。具体的には、本発明に用いられるゲート誘電体20は、これらに限られるものではないが、金属シリケート及び金属窒化物シリケートを含むシリケート、又は金属のゲルマニウム酸塩及び金属窒化物のゲルマニウム酸塩を含むゲルマニウム酸塩を含む。これらの誘電体は、随意的に、Al又はNの少なくとも一方を含むことができる。1つの実施形態においては、誘電体20は、HfSiOのようなHfベース(すなわち、Hf含有)の誘電体からなることが好ましい。
誘電体20の物理的厚さは変化し得るが、典型的には、誘電体20は、約0.5nmから約10nmまでの厚さを有し、約0.5nmから約3nmまでの厚さがより典型的である。
次に、誘電体20のブランケット層の上に、絶縁中間層22が形成される。本発明に用いられる絶縁中間層22は、以下の特性、すなわち、(i)空間的分離によって誘電体20とSi又はGe含有導体24の間の相互作用を防止することができる、(ii)その付加に伴う(直列容量効果による)容量の減少が最小になるように十分なだけ高い誘電率(約4.0又はそれより大きいオーダーの)を有すること、(iii)界面層付近にp型ドーパントを供給して界面の電極材料付近のp型挙動を保証するために、少なくとも部分的に解離可能であること、(iv)誘電体20から導体24への原子の外方拡散を防止できること、(v)導体24の下でのその後の酸化を防止できること、及び(vi)ブランケット層20内の電荷の面密度を変更すること、のうちの少なくとも1つを有する。
本発明の絶縁中間層22は、ゲート誘電体20と導体24との間の相互作用を防止する化学的中間層である。本発明の中間層22は、下にある誘電体20と実質的に反応しない(それがドーパント源として働くときなど、僅かな又は部分的な分解は存在し得る)ので、誘電体20と反応してシリサイドを形成することはない。本発明の絶縁中間層22の別の特性は、シリコンが本発明の絶縁中間層22を還元することができないことである。本発明の中間層22のある程度の解離が起こり得る場合には、デバイス性能に悪影響を及ぼさないように、本発明の中間層22は、p型ドーパント又は中性ドーパントのいずれかとすべきである。また、本発明に用いられる絶縁中間層22は、(標準的なCMOS処理では典型的な約1000℃の)高温に耐えることができる高融点化合物とすべきである。
上述の基準に適合し、よって本発明の絶縁中間層22として用いられる絶縁材料は、随意的に内部に酸素を含むことができる任意の絶縁性金属窒化物を含む。絶縁中間層の例は、これらに限られるものではないが、窒化アルミニウム(AlN)、酸窒化アルミニウム(AlO)、窒化ホウ素(BN)、酸窒化ホウ素(BO)、窒化ガリウム(GaN)、酸窒化ガリウム(GaON)、窒化インジウム(InN)、酸窒化インジウム(InON)及びそれらの組み合わせを含む。本発明の1つの好ましい実施形態において、絶縁中間層22は、AlN又はAlOである。
絶縁中間層22は、典型的には、約1Åから約25Åまでの厚さを有する薄層であり、約2Åから約15Åまでの厚さがより典型的である。
絶縁中間層22は、例えば、化学気相堆積(CVD)、プラズマ支援CVD、アルミニウム及び窒素ベースの前駆体を用いる原子層蒸着(ALD)、原子又は分子状窒素(随意的には励起種とすることができる)及び随意的な酸素のビーム又は雰囲気と共に金属を蒸着させる物理気相堆積又は分子ビーム蒸着、有機金属化学気相堆積(MOCVD)、原子層蒸着、スパッタリング等のような種々の堆積工程によって形成することができる。代替的に、前に堆積された絶縁金属層の熱窒化又は酸窒化によって、絶縁中間層22を形成することもできる。代替的に、最初に金属窒化物を堆積させ、続いて適切な酸素環境中での部分的酸化を行ない、酸窒化物を生成することよって、金属の酸窒化物を形成することができる。代替的に、最初に金属酸化物を堆積させ、続いて適切な窒素環境中での部分的窒化を行ない、酸窒化物を生成することによって、金属酸窒化物を形成することができる。
絶縁中間層22を形成する1つの好ましい方法は、高真空下で抵抗加熱型の標準的Al噴出セルからAlを蒸着させ、市販の無線周波数(RF)原子状窒素又は窒素及び酸素供給源からの窒素又は酸素及び窒素ビームを用いることによるものである。窒化物のみを堆積させるためには、単一のRF窒素源で十分である。酸窒化物の場合は、第2のRF酸素源を用いることができる。代替的に、単にRF源なしで分子ビームとして酸素を供給することもできる。高真空下での蒸着工程は、例えば、特許文献4に記載されている。噴出セルは、典型的には、蒸着工程の際に約1000℃−1200℃の温度を有する。蒸着工程は、典型的には、約200−450Wの電力と、約1−3sccmの流速とを有するRF源を用いて行われる。これらの数値は、問題なく、提示された範囲から広範囲で変えることもできる。基板温度は、典型的には、堆積中に150℃から650℃までの間に保持される。また、堆積温度を提示された範囲外に変えることもできる。ベース真空チャンバ圧は、典型的には、約5×10−10トールから2×10−9トールまでの範囲である。
それを形成するのに用いられる技術にかかわらず、本発明において形成される絶縁中間層22は、誘電体20の上に存在する連続的かつ均一的な層である。「連続的」とは、絶縁中間層22が、その中に大きな破断及び/又はボイドを含まないことを意味し、「均一的」とは、絶縁中間層22が、堆積されたときに構造体にわたってほぼ同じ厚さを有することを意味する。絶縁中間層22は、それが特定の結晶構造をもたないことを意味するアモルファスとすることができる。絶縁中間層22は、使用される材料、並びにそれを形成するのに用いられる技術に応じて、アモルファスに近い他の相で存在してもよい。
絶縁中間層22を形成した後、例えば、物理気相堆積、CVD、又は蒸着といった周知の堆積工程を用いて、絶縁中間層22上に、Si又はGe含有導体となるSi又はGe含有材料24のブランケット層が形成される。導体を形成するのに用いられるSi又はGe含有材料24は、単結晶、多結晶、又はアモルファスの形態のSi又はSiGe合金層を含む。前述のSi又はGe含有材料の組み合わせも、ここで考慮される。本発明はまた、ゲート導体を部分的なシリサイド層又は完全なシリサイド層とすることができることも考える。Si又はGe含有材料24のブランケット層は、ドープされたものであっても、ドープされていないものであってもよい。ドープされたものである場合には、それを形成するためにインシチュ(in-situ)ドーピング堆積工程を用いることができる。代替的に、ドープされたSi又はGe含有層は、堆積、イオン注入及びアニールによって形成することができる。
Si又はGe含有層のドーピングにより、形成されたゲート導体の仕事関数がシフトされる。ドーパントイオンの説明に役立つ実例は、As、P、B、Sb、Bi、In、Al、Ga、又はこれらの混合物を含む。本発明のこの時点で堆積されるSi又はGe含有層24の厚さ、すなわち高さは、使用される堆積工程によって変化し得る。典型的には、Si又はGe含有層24は、約20nmから約180nmまでの垂直方向の厚さを有し、約40nmから約150nmまでの厚さがより典型的である。
本発明によると、絶縁中間層22は、pFETが形成されたときの閾値電圧及びフラットバンド電圧の安定化における特定の改善を示す。pFETは、ホウ素のようなp型ドーパントでドープされたポリ−Siを含む。
Si又はGe含有材料24のブランケット層の堆積後、例えば、物理気相堆積又は化学気相堆積のような堆積工程を用いて、Si又はGe含有材料24のブランケット層の上に誘電体キャップ層(図示せず)を形成することができる。誘電体キャップ層は、酸化物、窒化物、酸窒化物、又はそれらのいずれかの組み合わせとすることができる。誘電体キャップ層の厚さ、すなわち高さは、約20nmから約180nmまでであり、約30nmから約140nmまでの厚さがより典型的である。
誘電体キャップ(存在する場合には)、ブランケットSi含有層24、及び随意的な絶縁中間層22、並びにゲート誘電体20が、リソグラフィ及びエッチングによってパターン形成されて、パターン形成された材料スタック18が与えられる(図3を参照されたい)。パターン形成ステップ及びエッチング・ステップは、製造される半導体デバイスのタイプに応じて、随意的に用いられる。
複数のパターン形成された材料スタックが形成されたとき、材料スタックは、同じ寸法、すなわち長さをもつことができ、又はそれらはデバイス性能を改善するために種々の寸法を有することができる。リソグラフィ・ステップは、フォトレジストをブランケット積層構造体の上面に適用し、該フォトレジストを所望の放射パターンに露光させ、通常のレジスト現像剤を用いて露光されたフォトレジストを現像することを含む。次に、1つ又はそれ以上の乾式エッチング・ステップを用いて、フォトレジストのパターンを構造体に転写する。幾つかの実施形態においては、ブランケット積層構造体の層の1つにパターンを転写した後に、パターン形成されたフォトレジストを除去することができる。他の実施形態においては、エッチングが完了した後に、パターン形成されたフォトレジストを除去する。
パターン形成された材料スタックを形成する際に本発明に用いることができる適切な乾式エッチング工程は、これらに限られるものではないが、反応性イオンエッチング、イオンビームエッチング、プラズマエッチング、又はレーザアブレーションを含む。使用される乾式エッチング工程は、常にではないが、下にある誘電体20に対して選択的であり、よって、このエッチング・ステップは、典型的には、誘電体20を除去するものではない。しかしながら、幾つかの実施形態においては、このエッチング・ステップを用いて、既にエッチングされた材料スタックの材料層によって保護されていない誘電体20の部分を除去することができる。
本発明の幾つかの実施形態においては、絶縁中間層を含むpFETと、絶縁中間層を含まないnFETとを形成することが望ましい。本発明のこの実施形態においては、特許文献2に記載される処理の詳細が用いられる。大まかに言えば、この実施形態は、最初に、第1のデバイス領域及び第2のデバイス領域を有する半導体基板を準備することによって実現することができる。次に、少なくとも誘電体及び中間層の絶縁層を含む誘電体スタックが、デバイス領域の各々の上に形成される。次に、デバイス領域の一方の上にあるブロックマスクを用い、かつ、他方のデバイス領域から露出された中間層の絶縁層をエッチングして、デバイス領域の一方から絶縁中間層が除去される。次に、両方のデバイス領域内にSi含有材料が形成され、次いで、エッチングを行なって、パターン形成されたnFET及びパターン形成されたpFETを形成する。
次に、少なくとも1つのスペーサ(図示せず)が、常にとは限らないが、典型的には、各々のパターン形成された材料スタックの露出された側壁上に形成され、このステップは、FET及びMOSキャパシタの製造にも適用可能である。少なくとも1つのスペーサは、酸化物、窒化物、酸窒化物、及び/又はそれらのいずれかの組み合わせのような絶縁体からなる。この少なくとも1つのスペーサは、堆積及びエッチングによって形成される。
少なくとも1つのスペーサの幅は、ソース及びドレイン・シリサイド・コンタクト(FETデバイスのために後に形成される)がゲートスタックの縁部の下に侵入しないように、十分に広いものでなければならない。典型的には、ソース/ドレイン・シリサイドは、少なくとも1つのスペーサが、約20nmから約80nmまでの底部で測定された幅を有するとき、ゲートスタックの縁部の下に侵入しない。
材料スタック18は、これに熱酸化、窒化、又は酸窒化工程を施すことによって、本発明のこの時点において不動態化させる(passivate)こともできる。不動態化(パッシベーション)ステップは、材料スタックの周りに不動態化材料の薄層を形成するものである。このステップは、前のスペーサ形成ステップの代わりに、又はこれと共に用いることができる。スペーサ形成ステップと共に用いられるとき、スペーサの形成は、材料スタックの不動態化プロセスの後に行なわれる。
次に、基板内に、ソース/ドレイン拡散領域16(スペーサの有無にかかわらず)が形成される。ソース/ドレイン拡散領域16は、イオン注入及びアニール・ステップを用いて形成される。アニール・ステップは、前の注入ステップによって注入されたドーパントを活性化させるように働く。イオン注入及びアニールのための条件は、当業者には周知である。
ソース/ドレイン拡散領域16はまた、従来のエクステンション注入(extensionimplant)を用いてソース/ドレイン注入の前に形成されたエクステンション注入領域を含むこともできる。エクステンション注入の後、活性化アニールを行なってもよく、又は代替的に、同じ活性化アニール・サイクルを用いて、エクステンション注入及びソース/ドレイン注入の際に注入されたドーパントを活性化させることもできる。パターン形成されたゲート領域18の各々の下にあるソース/ドレイン領域間の半導体基板の領域が、デバイスチャネル100である。
次に、前に除去されていない場合には、誘電体20を選択的に除去する化学エッチング工程を用いて、誘電体20の露出された部分を除去する。このエッチング・ステップは、半導体基板12の上面上で停止する。誘電体20の露出された部分を除去する際に、いずれの化学エッチャントをも使用できるが、1つの実施形態においては、希フッ化水素酸(DHF)が用いられる。
当業者に周知の処理ステップを用いて、シリサイド化されたコンタクト(ソース/ドレイン及びゲート)の形成、並びに、金属相互接続部を有する後工程(BEOL:バック・エンド・オブ・ライン)相互接続レベルの形成といった、さらなるCMOS処理を形成することができる。
本発明の別の実施形態においては、上述の誘電体20は、カウンタードープ又はハロ注入調整によって、或いは、カウンタードープ及びハロ注入調整の組み合わせによって工学処理されたチャネル領域100´と共に使用される。誘電体20及び工学処理されたチャネル領域100´を含む構造体が、図4に示される。図5は、誘電体20、絶縁中間層22及び工学処理されたチャネル領域100´が実装される本発明の実施形態を示す。これらの両方の構造体において、閾値電圧及びフラットバンド電圧が安定化される。ソース/ドレイン・エクステンション領域17及びスペーサ19もまた、ハロ領域102及びカウンタードープ領域104と同様に示されることにも留意されたい。
ハロ領域102は、ソース/ドレイン拡散イオン注入の前に、図3に示されるパターン形成されたゲート領域18を含む半導体基板12の表面内にハロ・イオンを注入することによって形成される。ハロ注入は、参照番号102で図4及び図5に示される。ハロ注入は、特定のゲートスタックにおいて観察される閾値/フラットバンド・シフトをさらに調整するように適合されたエネルギー、ハロ・イオン濃度及び角度で行なわれる。ハロ注入の正確な条件は、必要な閾値電圧/フラットバンド電圧の調整の程度に応じて変化し得る。一般的な条件は、約5keVから約100KeVまでのハロ注入エネルギー、約5×1012原子/cmから約2×1014原子/cmまでのハロ・イオン面密度、及び約10°から約45°までの注入角度を含む。
カウンタードープ領域104は、典型的には半導体基板12の上部10Åから100Åまでの範囲にある、半導体基板12の上部付近の工学処理されたチャネル領域100´内に配置される。カウンタードープ領域104は、多層構造スタックが形成される前又はゲートスタックの形成後のいずれかに形成される。カウンタードープ領域104は、反対のタイプのドーパントをチャネル・ドープのために用いられるものに供給することによって形成される。カウンタードープ領域104は、イオン注入及びアニールによって形成することができる。典型的なイオン注入条件は、約1KeVから3KeVまでのエネルギーと、約1017原子/cmから1019原子/cmまでのドーパント濃度とを含む。代替的に、カウンタドーパント・イオンを含む材料を、別個の層として、或いは、ゲートスタックの成分の1つとして提供することができ、アニールを用いて、イオンを基板内に拡散させることができる。
上述の本発明の実施形態の各々は、機能回路へのこうした構造の実装を可能にする十分な閾値電圧/フラットバンド電圧制御を提供することが強調される。
上述の実施形態は、本発明の範囲及び精神を例証するように提供されるものである。これらの実施形態は、当業者に対して他の実施形態を明らかにする。これらの他の実施形態は、本発明の考慮の範囲内にある。したがって、本発明は、添付の特許請求の範囲によってのみ制限されるべきである。
ゲートバイアスゼロ、V=0Vにおける典型的なpFETの従来技術のゲートスタックにわたるおおよそのバンドアラインメントを示す概略図である。量E及びEは、それぞれシリコン基板内の伝導帯エッジ及びポリシリコン・ゲート内の価電子帯エッジを表わす。Eは、ゲートバイアスゼロにおけるシリコン基板及びポリシリコン・ゲート内のフェルミ準位位置(点線)を表す。 パターン形成前の、本発明の異なる処理ステップにおける、高いSi又はGe含有量を有する高k誘電体とポリ−Si又はポリ−Ge電極との間に配置された閾値電圧安定化中間層を含む、本発明の半導体構造体の図形的表示(断面図による)である。 パターン形成後の、本発明の異なる処理ステップにおける、高いSi又はGe含有量を有する高k誘電体とポリ−Si又はポリ−Ge電極との間に配置された閾値電圧安定化中間層を含む、本発明の半導体構造体の図形的表示(断面図による)である。 工学処理されたチャネル領域と、高いSi又はGe含有量を有する高k誘電体とを含む本発明の半導体基板の図形的表示(断面図による)である。 工学処理されたチャネル領域と、絶縁中間層と、高いSi又はGe含有量を有する高k誘電体とを含む、本発明の半導体構造体の図形的表示(断面図による)である。

Claims (10)

  1. 各々がソース拡散領域及びドレイン拡散領域を有するnFET領域とpFET領域とが内部に配置された半導体基板と、
    前記半導体基板の前記nFET領域および前記pFET領域の各々の上に配置されたゲートスタックであって、50%を超えるSi又はGe含有量を有する高kゲート誘電体と、シリコン(Si)又はゲルマニウム(Ge)含有ゲート導体とを含む、ゲートスタックと、
    前記pFET領域上の前記ゲートスタックの前記高kゲート誘電体と前記ゲート導体との間に配置された絶縁中間層であって、窒化アルミニウム(AlN)、酸窒化アルミニウム(AlO )、窒化ホウ素(BN)、酸窒化ホウ素(BO )、窒化ガリウム(GaN)、酸窒化ガリウム(GaON)、窒化インジウム(InN)、酸窒化インジウム(InON)、又はそれらの組み合わせを含み、前記nFET領域上の前記ゲートスタックには設けられていない、絶縁中間層と、
    前記nFET領域および前記pFET領域の各々の前記ソース拡散領域と前記ドレイン拡散領域との間に配置され、カウンタードープされた領域を含むチャネル領域であって前記カウンタードープされた領域は、その下の前記半導体基板の領域のドーパントとは反対のタイプのドーパントが10 17 原子/cm から10 19 原子/cm までの濃度で含まれている、チャネル領域とを備え
    前記高kゲート誘電体と前記絶縁中間層と前記チャネル領域とにより、前記pFET領域の前記ゲートスタックの閾値電圧/フラットバンド電圧が目標値になるように安定化される、半導体構造体。
  2. 前記半導体基板は、Si、Ge、SiGe、SiC、SiGeC、シリコン・オン・インシュレータ、シリコンゲルマニウム・オン・インシュレータ、Ga、GaAs、InAs、InP、他のIII/V族又はII/VI族化合物半導体、有機半導体、或いは積層半導体を含む、請求項1に記載の半導体構造体。
  3. 前記高kゲート誘電体の前記Si又はGe含有量は60%から90%までである、請求項1に記載の半導体構造体。
  4. 前記高kゲート誘電体は、金属シリケート、金属窒化物シリケート、金属のゲルマニウム酸塩、又は金属窒化物のゲルマニウム酸塩である、請求項1に記載の半導体構造体。
  5. 前記高kゲート誘電体はHfベースの材料である、請求項に記載の半導体構造体。
  6. 前記絶縁中間層はAlN又はAlOを含む、請求項に記載の半導体構造体。
  7. 前記チャネル領域は、ハロ・イオンが注入されたハロ注入領域を含む、請求項1に記載の半導体構造体。
  8. 前記ゲート導体は、Si、SiGe、又はシリサイドを含む、請求項1に記載の半導体構造体。
  9. 前記高kゲート誘電体はHfベースの誘電体であり、前記絶縁中間層はAlN又はAlO である、請求項1に記載の半導体構造体。
  10. 前記チャネル領域のカウンタードープされた領域は、前記半導体基板12の表面から100Åまでの範囲にある、請求項1に記載の半導体構造体
JP2008537750A 2005-10-26 2006-10-12 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス Expired - Fee Related JP5199104B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/259,644 2005-10-26
US11/259,644 US7655994B2 (en) 2005-10-26 2005-10-26 Low threshold voltage semiconductor device with dual threshold voltage control means
PCT/US2006/039940 WO2007050312A2 (en) 2005-10-26 2006-10-12 Low threshold voltage semiconductor device with dual threshold voltage control means

Publications (2)

Publication Number Publication Date
JP2009514218A JP2009514218A (ja) 2009-04-02
JP5199104B2 true JP5199104B2 (ja) 2013-05-15

Family

ID=37968340

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008537750A Expired - Fee Related JP5199104B2 (ja) 2005-10-26 2006-10-12 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス

Country Status (7)

Country Link
US (2) US7655994B2 (ja)
EP (1) EP1949447A4 (ja)
JP (1) JP5199104B2 (ja)
KR (1) KR101071793B1 (ja)
CN (1) CN101563780B (ja)
TW (1) TW200725888A (ja)
WO (1) WO2007050312A2 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2674966B1 (en) * 2006-06-29 2019-10-23 Cree, Inc. Silicon carbide switching devices including P-type channels
US20080272438A1 (en) * 2007-05-02 2008-11-06 Doris Bruce B CMOS Circuits with High-K Gate Dielectric
US8680629B2 (en) 2009-06-03 2014-03-25 International Business Machines Corporation Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
US20100314695A1 (en) * 2009-06-10 2010-12-16 International Rectifier Corporation Self-aligned vertical group III-V transistor and method for fabricated same
US8274116B2 (en) * 2009-11-16 2012-09-25 International Business Machines Corporation Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US8435878B2 (en) 2010-04-06 2013-05-07 International Business Machines Corporation Field effect transistor device and fabrication
EP2402999A1 (en) * 2010-06-29 2012-01-04 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Semiconductor component, method of producing a semiconductor component, semiconductor device
US8492247B2 (en) 2010-08-17 2013-07-23 International Business Machines Corporation Programmable FETs using Vt-shift effect and methods of manufacture
JP5856827B2 (ja) * 2010-12-09 2016-02-10 株式会社半導体エネルギー研究所 半導体装置
US8941112B2 (en) 2010-12-28 2015-01-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US20120313186A1 (en) * 2011-06-08 2012-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Polysilicon gate with nitrogen doped high-k dielectric and silicon dioxide
US8709883B2 (en) 2011-08-19 2014-04-29 Freescale Semiconductor, Inc. Implant for performance enhancement of selected transistors in an integrated circuit
TWI565062B (zh) * 2011-09-26 2017-01-01 聯華電子股份有限公司 半導體結構及其製程
US9000568B2 (en) * 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8987091B2 (en) 2011-12-23 2015-03-24 Intel Corporation III-N material structure for gate-recessed transistors
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8900952B2 (en) * 2013-03-11 2014-12-02 International Business Machines Corporation Gate stack including a high-k gate dielectric that is optimized for low voltage applications
CN103887163B (zh) * 2014-04-03 2016-04-20 中国科学院半导体研究所 用于SiC基MOS器件栅介质薄膜的制备方法
CN108305898B (zh) * 2017-01-12 2020-11-24 立锜科技股份有限公司 改善临界电压下滑的金属氧化物半导体元件及其制造方法
CN106653862A (zh) * 2017-01-24 2017-05-10 京东方科技集团股份有限公司 一种薄膜晶体管、阵列基板及薄膜晶体管的制作方法
JP6640762B2 (ja) 2017-01-26 2020-02-05 株式会社東芝 半導体装置
US10629749B2 (en) * 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
CN110391285B (zh) * 2018-04-23 2023-04-21 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5763922A (en) * 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
US6531354B2 (en) * 2000-01-19 2003-03-11 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
JP2002299607A (ja) * 2001-03-28 2002-10-11 Toshiba Corp Mis型電界効果トランジスタ及びこれの製造方法
JP3773448B2 (ja) * 2001-06-21 2006-05-10 松下電器産業株式会社 半導体装置
JP2003069011A (ja) * 2001-08-27 2003-03-07 Hitachi Ltd 半導体装置とその製造方法
JP2003124456A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置及びその製造方法
JP4489368B2 (ja) * 2003-03-24 2010-06-23 株式会社日立製作所 半導体装置およびその製造方法
JP4524995B2 (ja) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
JP2005064317A (ja) * 2003-08-18 2005-03-10 Semiconductor Leading Edge Technologies Inc 半導体装置
JP2005079310A (ja) * 2003-08-29 2005-03-24 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005085822A (ja) * 2003-09-04 2005-03-31 Toshiba Corp 半導体装置
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
JP2005191482A (ja) * 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP5050351B2 (ja) * 2004-01-28 2012-10-17 日本電気株式会社 半導体装置の製造方法
WO2005098961A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited ゲート絶縁膜の形成方法、記憶媒体、及びコンピュータプログラム
US20050258491A1 (en) 2004-05-14 2005-11-24 International Business Machines Corporation Threshold and flatband voltage stabilization layer for field effect transistors with high permittivity gate oxides
JP4040602B2 (ja) * 2004-05-14 2008-01-30 Necエレクトロニクス株式会社 半導体装置
US7105889B2 (en) 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US8178902B2 (en) * 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US7475070B2 (en) 2005-01-14 2009-01-06 International Business Machines Corporation System and method for tree structure indexing that provides at least one constraint sequence to preserve query-equivalence between xml document structure match and subsequence match
US7407850B2 (en) * 2005-03-29 2008-08-05 Texas Instruments Incorporated N+ poly on high-k dielectric for semiconductor devices

Also Published As

Publication number Publication date
JP2009514218A (ja) 2009-04-02
WO2007050312A3 (en) 2009-04-30
KR20080068811A (ko) 2008-07-24
TW200725888A (en) 2007-07-01
EP1949447A2 (en) 2008-07-30
KR101071793B1 (ko) 2011-10-11
US20080182389A1 (en) 2008-07-31
WO2007050312A2 (en) 2007-05-03
US7858500B2 (en) 2010-12-28
EP1949447A4 (en) 2009-11-11
CN101563780A (zh) 2009-10-21
US20070090471A1 (en) 2007-04-26
US7655994B2 (en) 2010-02-02
CN101563780B (zh) 2012-08-22

Similar Documents

Publication Publication Date Title
JP5199104B2 (ja) 二重の閾値電圧制御手段を有する低閾値電圧の半導体デバイス
US7242055B2 (en) Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7598545B2 (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
JP5669954B2 (ja) 高K/金属ゲートMOSFETを有するVt調整及び短チャネル制御のための構造体及び方法。
US8865539B2 (en) Fully depleted SOI multiple threshold voltage application
KR100911743B1 (ko) 반도체 디바이스 및 이의 제조 방법
JP5336857B2 (ja) 金属不純物の導入による導電性電極の仕事関数を変更する方法(およびその半導体構造体)
JP5511889B2 (ja) TiC膜を含む半導体構造を形成する方法
US20050258491A1 (en) Threshold and flatband voltage stabilization layer for field effect transistors with high permittivity gate oxides
US20090108350A1 (en) Method For Fabricating Super-Steep Retrograde Well Mosfet On SOI or Bulk Silicon Substrate, And Device Fabricated In Accordance With The Method
JP5569173B2 (ja) 半導体装置の製造方法及び半導体装置
US8835260B2 (en) Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
US7880241B2 (en) Low-temperature electrically activated gate electrode and method of fabricating same
US20090057786A1 (en) Semiconductor device and method of manufacturing semiconductor device
US20060273410A1 (en) Thermally stable fully silicided Hf silicide metal gate electrode

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20121015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121019

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130122

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130207

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160215

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5199104

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees