JP5139059B2 - プラズマ処理システムにおけるエッチング耐性を最適にする方法 - Google Patents

プラズマ処理システムにおけるエッチング耐性を最適にする方法 Download PDF

Info

Publication number
JP5139059B2
JP5139059B2 JP2007519252A JP2007519252A JP5139059B2 JP 5139059 B2 JP5139059 B2 JP 5139059B2 JP 2007519252 A JP2007519252 A JP 2007519252A JP 2007519252 A JP2007519252 A JP 2007519252A JP 5139059 B2 JP5139059 B2 JP 5139059B2
Authority
JP
Japan
Prior art keywords
plasma processing
plasma
etching
substrate
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007519252A
Other languages
English (en)
Other versions
JP2008505490A (ja
JP2008505490A5 (ja
Inventor
アダムス,ヨーコ,ヤマグチ
ストヤコビック,ジョージ
ミラー,アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008505490A publication Critical patent/JP2008505490A/ja
Publication of JP2008505490A5 publication Critical patent/JP2008505490A5/ja
Application granted granted Critical
Publication of JP5139059B2 publication Critical patent/JP5139059B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Description

本発明は基板製造技術、特にプラズマ処理システムにおけるエッチング耐性を最適にする方法および装置に関する。
基板、例えば半導体基板やフラットパネルディスプレイの製造に用いられるガラスパネルには、プラズマ処理が採用される。基板処理の一部として、例えば基板が複数のダイ(dies)または長方形のエリアに分割され、それらが集積回路を構成する。基板は、一連の処理工程において素材の一部が除去(エッチング)されまたは堆積(deposited)される。数ナノメートルのオーダーの限界寸法(critical dimension:CD)でトランジスタゲートを制御することが最優先であり、ターゲットゲートの長さがナノメートルずれるだけで、これらの装置の操作スピードに直接影響するからである。
典型的なプラズマ処理における基板上へのゲートセットの形成では、化学気相成長法によりシリコン基板上にp型エピタキシャル層が配置される。エピタキシャル層の上に窒化物層が堆積し、特定のパターンにマスキングおよびエッチングがなされ、エピタキシャル層の上に除去されて露出された領域などを形成する(例えば、窒化物層で被覆されない領域)。これらの領域は、リンなどの添加物が拡散またはイオン注入される前に特定のパターンにマスクキングされ、nウェルを形成する。
硬化エマルジョンの領域は選択的に除去され、基底層の構成要素が露出される。基板は、モノポーラ(mono-polar)まはバイポーラ(bi-polar)電極を含む支持構造の上で、チャックあるいは台座(pedestal)に固定されてプラズマ処理チャンバ内にセットされる。適当なエッチング源がチャンバ内に導入され、基板の処理面にプラズマが照射されてエッチング処理される。
二酸化シリコンが成長して酸化物領域を形成し、回路の他のパーツからnウェルを絶縁する。別のマスキング/酸化処理が繰り返されてnウェル上にゲート酸化物層が成長し、pチャネルMOSトランジスタが形成される。このゲート酸化物層はこれらトランジスタのチャネルおよびゲートの間の絶縁層として働く。他のエピタキシャル領域を閾値電圧で調整しつつ、別途マスキング、拡散、注入などの処理によって、nチャネルトランジスタが形成される。
ウエハ上のポリシリコン層の堆積が行われ、続いて不要なポリシリコン層の除去の為のマスキング/エッチングが繰り返されて、pチャネルトランジスタのゲート酸化物の上にポリシリコンゲートが画定される。同時に、正確な位置における酸化物のエッチングによって、nウェルの上にソースとドレインのドライブイン(拡散)のための開口が形成される。
別にマスキング/注入が繰り返され、nウェルの新しい開口内にボロンドープおよびドライブインが行われて、p型のソースとドレインが形成される。その後、マスキング/注入が繰り返されて、p型エピタキシャルの中に、nチャネルトランジスタのn型ソースとドレインが形成される。
しかし、基板上に高密度回路の要求が高くなると、サブミクロンのオーダーにおける高いアスペクト比を持ったヴィアコンタクトやトレンチを形成するには、現在のプラズマ処理の技術を用いてもなかなか困難なものであった。特に、ゲート深さがリソグラフィックの光の波長よりも小さい場合には当該深さのゲートを作成することは非常に困難である。一つの一般的な方法としては、化学的に優位なエッチング処理を用いて、フォトレジストマスクを削ることである。この方法では所望の結果(プレエッチングのCD)と比較して外形のCDが大きなマスクが形成される。底部基板のトレンチが縦方向(基板に対して垂直)にエッチングされ、フォトレジストが左右方向(基板に対して水平方向)にエッチングされあるいは削られて、最終的に所望のゲートCDが形成されるのである。
一般的に、フォトレジストはリソグラフィックプロセス(コントラスト、解像度、インライン粗さなど)、インテグレーション(積層化)プロセス(エッチング選択性、化学的安定性、アッシング(ash)選択性など)ともに最適のものでなければならない。このようなタイプのフォトレジストは、化学的に優位なエッチングなどによって、積層化について影響を受けやすく、これらは“ソフト”といわれることがある。
ソフトフォトレジストのCDの制御は、リソグラフィック環境におけるサブミクロン〜100nmの範囲では特に困難である。フォトレジストは、フォトレジストの柱が崩壊しないようにして十分に薄くなければならず、エッチング層の所望のエッチング選択性の為に十分な厚みを有していなければならない。しかし、フォトレジストの柱が幅に比較して高さが不均衡(例えば、高さ対幅の比が4以上)であると、エッチング処理が損傷を与え、基板の電気的・機能的特性が変わり、基板の性能および製品の良品率に直接影響を与えてしまう。
例えば、ソフトフォトレジストがエッチングされると、小刻みな波状パターンが形成され、溝ができたり、マイクロローディング(micro-loading)やランダムなエッチングストップの原因となってしまう。溝は普通の形状の中に不揃いな形状を作り、マスキングの領域を余分に露出させる。従って、基板から意図しないエッチング除去が起き、その結果ウエハの電気的・機能的特性が変えられてしまうのである。一つの影響として例えば、フォトレジストの柱面の粗さが増加することもある。もし、フォトレジストの小刻みな波状パターンが集中したとすると、その結果マスキングパターンが、部分的あるいは完全に、所定の基材の除去をブロックしてしまう。
トレンチフロアに基材が残ると、マイクロローディングが起こり、物理的に平らでない底部表面が形成されてしまう。エッチング処理の間に、フォトレジストの柱の入口でエッチングガスが妨げられると、ランダムなエッチングストップが発生する。ある状況においては、フォトレジストの柱が、高さと薄さが不均衡(例えば、高さ対幅の比が4以上)であると、フォトレジストの柱の全部が誤って除去あるいは剥がされてしまう。さらに横からのエッチング速度と縦からのエッチング速度が違う場合に、不均一なストレスにより、フォトレジストの柱が、よじれたり、曲げられたり、捻じられたりする。
図1を参照すると、プラズマ処理システムの構成が簡易的に表されている。一般に、適切なガス混合物がガス分散システム122から入力管108を通ってチャンバ102内へ流される。静電的チャック116の上にエッジリング115によって固定された半導体基板やガラス板材などの基板114の露出された領域を、表面処理(例えばエッチングや堆積など)するために、これらのプラズマ処理ガスはプラズマ110を形成するようにイオン化される。加えて、ライナー117はプラズマとプラズマ処理チャンバの間の熱バリアとしてだけでなく基板114上のプラズマ110の最適化に寄与する。
ガス分散システム122は、プラズマ処理ガス(例えば、C、C、CHF、CH、CF、HBr、CHF、C、N、O、Ar、Xe、He、H、NH、SF、BCl、Cl、WF、その他)を含む圧縮ガスシリンダ124a−fを含んでいる。圧縮ガスシリンダ124a−fは、それぞれのガスを排出する機構を備えた容器128の中に保護されている。流速コントローラ126a−fは、(トランスデューサ、コントロールバルブ、信号処理エレクトロニクスなどを含む)自己調整型装置であり、半導体産業においてプラズマ処理システムへガス流量を測定し、調整するために用いられる。インジェクタ109は、チャンバ102内にエアロゾルとしてプラズマ処理ガス124を導入する。
誘導コイル131は絶縁ウィンドウ104によってプラズマから分離され、プラズマ110を生成するためにプラズマ処理ガス内に時変電流を誘導する。ウィンドウは誘導コイルをプラズマ110から保護し、プラズマ処理チャンバ内に発生された高周波(RF)フィールドを透過させる。さらに誘導コイル131はリード線130a−bによってマッチングネットワーク132と結合し、そして高周波発生器138とも結合している。マッチングネットワーク132は、高周波発生器138のインピーダンスをマッチングさせ、約13.56MHz、50オームで操作されて、プラズマ110に適用する。
一般に、冷却システムのあるタイプでは、プラズマの点火による熱平衡を保つためにチャックと結合している。冷却システム自身は、チャックの中の空間にクーラント(coolant)をポンピングするため冷却装置を含み、チャックと基板の間にヘリウムガスを送り込む。発生した熱を除去し、熱の拡散を素早くコントロールするために、ヘリウムガスが冷却システムとして用いられる。従って、ヘリウムの圧力が増加すると熱の移動速度も増大する。ほとんどのプラズマ処理システムは、操作ソフトウエアプログラムを有する複雑なコンピュータにより制御される。典型的操作環境において、製造プロセスパラメータ(例えば、電圧、ガス混合流量、ガス流速、圧力など)は特別なプラズマ処理システム及び具体的な方法により決定される。
図2A−Bを参照すると、プラズマ処理により製造されたゲートの層構造断面図が示されている。図2Aは、リソグラフィック工程に先立つ、半導体ICの層構造を表す断面図である。以下の説明において“上”、“下”の用語は、層間の空間的な関係を意味し、層間の直接的な接続をも意味するものではない。他の付加的な層が上、下、または間に存在することに注意すべきである。さらに、存在する全ての層を表すものでもなく、他の異なる層によって構成されている場合もある。
積層の底部は、図では層204として示されているが、ポリシリコンのような半導体を含んでいる。層204の上には、リソグラフィック処理を経たフォトレジストマスク層208とBARC層206が配置されている。この例では、フォトレジストマスクパターン216が最初にリソグラフィックによって形成され、トレンチゲート202a−bを形成するために化学的トリム(trimmed)が行われた後の状態を示している。
図2Bは、トレンチゲート202a−bをさらに延長させるために、プラズマ処理システムによって層204がエッチングされた後の断面図を表している。さらに、フォトレジストマスク層が領域210の分、および基底半導体層204も横方向にエッチングされた状態を示す。
図3A−Cを参照すると、プラズマ処理の間に崩壊されたソフトフォトレジストの柱を有する基板の断面を示している。エッチング処理によって、フォトレジスト材が横方向(基板に対して平行方向)、或いは縦方向(基板に対して垂直方向)に除去された状態である。もし柱の幅が十分に最小化されると、横方向と縦方向のエッチングの組合せが斜め方向に働いて、柱の大部分を除去してしまい、基底部の基板にも損傷を与える。
図3Aは、リソグラフィック工程に先立つ、典型的な半導体ICの断面図を表している。基底層は層304で示されており、ポリシリコンなどの半導体である。層304の上には、リソグラフィック処理後のフォトレジストマスク層308とBARC層306が形成されている。この例ではフォトレジストマスクパターンがトレンチ302a−cにゲートを形成するために、処理されている。
図3Bは、プラズマ処理システムによってフォトレジスト層308がエッチングされた後の断面を示している。しかし、これは図2A−Bに示す柱とは異なり、細い柱316が、エッチング処理により損傷を受けて柱312になっている。幅だけでなく、高さまでも壊滅的に減少している。
図3Cは、図3Bの積層を、プラズマ処理システムによって層304をエッチングした後の断面図を示している。基底層304には大きな空間320が形成されてしまっている。
図4A−Cを参照すると、不均一なソフトフォトレジストの柱がプラズマ処理の間に捻じられた状態を示す断面図である。前記の通り、フォトレジスト材が横方向(基板に対して平行方向)、或いは縦方向(基板に対して垂直方向)に除去された状態である。もし柱の幅が十分に最小化されると、横方向と縦方向のエッチングの組合せが、曲げられたり捻じられたりするストレスを生じさせる。
図4Aは、リソグラフィック工程に先立つ、典型的な半導体ICの断面図を表している。積層の底には、ポリシリコンなどの半導体よりなる層404が示されている。層404の上には、リソグラフィック工程を経てフォトレジストマスク層408とBARC層406が形成されている。この例ではフォトレジストマスクパターンがトレンチゲート402a−cの形成のために、処理されている。
図4Bは図4Aの積層のフォトレジスト層408が、プラズマ処理システムによってエッチングされた後の断面図を示している。図2A−Bの柱216とは異なり、柱416がエッチング処理によって捻じられて柱412へ、また基底層404には非直線的な側壁面が形成されている。
このように、プラズマ処理システムにおけるエッチング耐性を最適化する方法および装置が求められているのである。
本発明の具体例の一つは、プラズマ処理チャンバを含むプラズマ処理システムにおいて、基材のエッチングに対する耐性を最適化する方法に関する。該方法は、エッチングガス混合物と親和性を有するプレコート(pre-coat)ガス混合物をプラズマ処理チャンバに流入させる工程;プレコートガス混合物の第一プラズマを照射する工程;基材を含む基板を導入工程を含む。また、該方法は、プラズマ処理チャンバ内にエッチングガス混合物を流入させる工程;エッチングガス混合物の第二プラズマを照射する工程;第二プラズマにより基板をエッチングする工程;を含む。そして、プラズマ処理チャンバ内で、第一プラズマが露出表面にプレコート残留膜を形成し、基材のエッチング耐性が実質的に維持される。
本発明の特徴については、以下に図面を参照しつつ、さらに詳細に説明する。
本発明について、いくつかの好ましい具体例とともに図面を参照しつつ更に詳細に説明する。以下の説明において、多くの詳細な説明は本発明を理解するために用いられる。当業者においては、これらの詳細な説明の一部または全部がなくても、本発明を実施することができるかもしれない。また、公知のプロセス、構造などについては、本発明を却って不明瞭にするかもしれないので詳細には記載していないことを理解すべきである。
前記の通り、深いゲートエッチングを、ソフトフォトレジスト(フォトレジストは集積化の問題による影響を受けやすい)を使用して得ることは困難である。特に、フォトレジストの柱の幅に比較して高さが不均衡(例えば、高さ対幅の比が4以上)であると、エッチング処理が該柱、そして後のゲート基材に損傷を与える。
例えば、不均一なストレスがフォトレジストの柱によじれ、曲げ、捻じれ等を生じさせる原因となる。同様に、ファセット(facet)または非直線的な側面形状が該柱の壁に形成されて、基板の実質的な欠陥となる。ある状況においては、柱の高さ及び薄さが不均衡であると、フォトレジストの柱の全体が除去あるいは剥離され、さらには断面のCDや基板に対して損傷を与えることになる。伝統的な保護膜形成技術は一般的に役に立たない。何故なら、相対的な断面のCDは維持されるけれども、絶対的なサイズのCDは保護膜層を付加することにより増大するからである。
限定されることを望むものではないが、エッチング剤(例えばフォトレジストエッチング剤)と親和性(誘引性)のある材料でプラズマ処理チャンバをプレコートすることにより、基板が正確にエッチングされるだけでなく、エッチング剤の選択性を最適化できる。
一般に、プラズマ処理のエッチング工程の後、ドライクリーニング、ウエハの無い状態でのクリーニング、予防保守などにより反応チャンバの内表面に接着したミクロな粒子や残留ポリマを除去する。次の基板を入れる前に、エッチング剤と親和性のある材料でプラズマチャンバをプレコートすることによって、プラズマ中のエッチングラジカルの効果量が減少し、エッチング速度(例えば、フォトレジストの完全性を維持するためなど)が最適化される。
例えば、多くのフォトレジストエッチング剤は酸素を含んでいる。酸素と親和性のある塩素などでチャンバをプレコートすると、プラズマ中の酸素ラジカルの効果量が減少し、それがフォトレジストのエッチングには有効なのである。しかし、プレコート材の量が多すぎるとエッチング処理に有効な酸素ラジカルの数も殆どなくなってしまう。制御可能なポリマー保護層は、プレコートとフォトレジストエッチング剤との反応によって生成され、フォトレジストの完全性保護の為にエッチング処理を最適化する。ポリマー保護層はフォトレジストマスクの垂直方向と水平方向の浸食を防止し基板のCD維持にとって有効なのである。
米国特許6455333号では、特有の積層を有するウエハをエッチングチャンバ内で処理して、深紫外線(deep ultraviolet:DUV)レジストのエッチング速度安定化法により、調和のとれた安定なDUVレジストのエッチング速度が得られている。しかし、本発明のフォトレジストの完全性を保存するという新規な方法は、前記の単にエッチング速度を安定化するという方法とは異なるものである。さらに前記方法は、チャンバに合わせてウエハの状態を整えて用いるが、本発明を示唆するものではない。
米国特許6626187号では、エッチング反応装置の反応チャンバを再調整する方法が提案されている。しかし、本発明のフォトレジストの完全性を保存するという新規な方法は、前記の窒素及び水素ガスが基板と反応して高分子量の粒子を生成してエッチング処理を安定化するものとは異なるのである。
米国特許6420274号では、分子、分子フラグメント、および原子によるチャンバ表面処理の調整による方法が提案されている。しかし、本発明のフォトレジストの完全性を保存するという新規な方法は、前記の繰り返しプラズマ処理を可能にするためのチャンバの調整方法とは異なるのである。
米国特許6274500号では、プラズマエッチングチャンバの洗浄および順応方法が提案されている。しかし、本発明のフォトレジストの完全性を保存するという新規な方法は、前記の繰り返しプラズマ処理を可能にするために最初に洗浄し次いでプラズマチャンバを順応させるものとは異なるのである。さらに前記方法は、チャンバに合わせてウエハの状態を整えて用いるが、本発明を示唆するものではない。
他の先行技術としては、エッチングアプリケーションのスペーサとしてCHプレコート法がある。しかし、本発明のフォトレジストの完全性を保存するという新規な方法は、前記のクロムなどの金属分子による汚染を高度に防止するために金属分子を密封するものとは異なるのである。
図5を参照すると、図1のプラズマ処理システムに本発明の一つの具体例であるプレコートを加えたものを簡易的に示したものである。前記の通り、適当なガスが、ガス分散システム122から入力管108を通ってチャンバ102内に流される。半導体基板やガラス板材などの基板114の露出表面処理(エッチング又はデポジッションなど)のために、これらのプラズマ処理ガスはプラズマ110の形成のためにイオン化される。しかし、この工程の前に、プレコート117(例えば、O、HBr、Cl、He、N、Ar、CF、CH、CHF、SiCl、SF、NF、その他)が形成され、これがフォトレジストエッチングラジカルと反応してエッチング処理を実質的に最適化する。
図6A−Bを参照すると、本発明の一つの具体例であるプレコートによってトリムプロセスが最適化された状態を示す積層の断面図である。図6Aは、リソグラフィック工程に先立つ、半導体ICの積層の断面図である。該積層の底部は層604で示され、ポリシリコンなどの半導体を含んでいる。層604の上には、既にリソグラフィック工程が行われたのちのフォトレジストマスク層608とBARC層606が表されている。しかし、図3A−Cや図4A−Bとは異なり、保護膜620がトレンチ602の中に形成されてフォトレジストの形状を保持し、その結果トレンチ602のCDが維持される。
図6Bは、トレンチ606a−cがさらに延長されて半導体層604内にゲートのセットが形成されるように、図6Aの層604がプラズマ処理システムによりエッチングされた状態の断面図を示す。
一つの具体例において、トリム処理の適用のために、プラズマ処理チャンバが、O、HBr、Cl、He、N、CF、Arから選択される一つ以上のガスを含む混合物でコーティング処理が施される。
別の具体例において、BARCエッチングプロセスの適用のために、プラズマ処理チャンバが、O、HBr、Cl、He、N、Ar、CF(CF)、CH(CH、CHFなど)、SiCl、SF、NFから選択される一つ以上のガスを含む混合物でコーティング処理が施される。
別の具体例において、無機材料のエッチングプロセス適用のために、プラズマ処理チャンバが、O、HBr、Cl、He、N、Ar、CF(CF)、CH(CH、CHFなど)、SF、NFから選択される一つ以上のガスを含んでコーティング処理が施される。
別の具体例において、シリコンエッチング(例えばポリシリコン、アモルファスシリコン、エピタキシャルシリコン、その他)処理の適用のために、プラズマ処理チャンバが、O、HBr、Cl、He、N、Ar、CF(CF)、CH(CH、CHFなど)、SiCl、SF、NFから選択される一つ以上のガスを含んでコーティング処理が施される。
別の具体例においては、2300VersysTMシリーズプラズマ処理システム(2300VersysTM、2300Versys StarTM、2300Versys KiyoTM、その他)内、約5〜100mTのチャンバ圧力、約350〜1400WのTCP電力、約0〜20Wのバイアス電源、CHが約50〜250sccmの流速、CFが約5〜150sccmの流速、約20°〜60°の温度、約5〜30秒の時間、これらの条件でプレコートすることは、フォトレジストエッチング速度を十分に最適化する。
別の具体例においては、2300VersysTMシリーズプラズマ処理システム内、約30〜80mTのチャンバ圧力、約600〜1200WのTCP電力、約0〜10Wのバイアス電源、CHが約50〜150sccmの流速、CFが約10〜80sccmの流速、約30°〜50°の温度、約5〜15秒の時間、これらの条件でプレコートすることは、フォトレジストエッチング速度を十分に最適化する。
別の具体例においては、2300Versys StarTMシリーズプラズマ処理システム内、約50〜70mTのチャンバ圧力、約900〜1100WのTCP電力、約0〜5Wのバイアス電源、CHが約75〜105sccmの流速、CFが約20〜40sccmの流速、約30°〜50°の温度、約5〜15秒の時間、これらの条件でプレコートすることは、フォトレジストエッチング速度を十分に最適化する。
別の具体例においては、プレコート処理は、基板がエッチングのために導入される前に、チャンバをコートするプレエッチングのプラズマ処理チャンバ調整工程として使用することができる。
別の具体例においては、基板がエッチングのためにプラズマ処理チャンバ内に置かれ、次いでトリム(trim)処理により目的とするサイズのCD(ライン幅など)に縮め、基板が除去され、プレコート工程を経て、基板を再導入して全体のエッチング処理を完成させる。
別の具体例においては、エッチング処理を連続して完成するために二つのプラズマ処理チャンバを使用することができる。第一チャンバは、CD(ライン幅など)を目的のサイズにトリムする。次いで、第二チャンバで、積層を残してエッチングするために基板を入れる前に、プレコート処理を実施する。
図7を参照すると、本発明の具体例の一つである、基板のエッチングのためにプレコート処理を加えたプラズマ処理システムの簡易プロセスが示されている。最初に工程702で、O、HBr、Cl、He、N、Ar、CF(CF)、CH(CH、CHFなど)、SiCl、SF、NFから選択される一つ以上のプレコート用混合ガスをプラズマ処理システムのプラズマチャンバ内に流入させる。次に、工程704で、プレコート用混合ガスのプラズマを照射する。次いで、工程706で、基材(フォトレジスト、BARCなど)を含む基板をプラズマ反応器に導入する。さらに、工程708で、エッチングガス混合物をプラズマ処理システムのプラズマ反応器に流す。工程710で、エッチングガス混合物のプラズマを照射する。工程712で基板がプラズマによってエッチングされる。最後に、工程714で基材を取り出す。
本発明についていくつかの好ましい実施例を挙げて説明したが、本発明の範囲内で変更、置換などが可能である。例えば、本発明例ではプラズマ処理システムとして、ラムリサーチコーポの製品(ExelanTM、ExelanTMHP、ExelanTMHPT、2300TM、VersysTMStarなど)を使用したが、他のプラズマ処理システムももちろん使用できる。本発明は各種直径(200mm、300mmなど)の基板を使用することもできる。また、フォトレジストプラズマエッチングは酸素以外のガスを用いることもできる。さらに、基材が他の種類についてのエッチング工程も、本発明により最適化することができる。本発明の方法に用いる用具には多く選択肢があることが理解されるべきである。
本発明の効果は、プラズマ処理システム内のエッチングに対する耐性を最適化することを含んでいる。さらに、酸素を主とするエッチングガスに曝されたときのフォトレジストの損傷を最小化、エッチング処理中のトレンチやヴィアのファセッティング(faceting)、フェンシング(fencing)を最小化、エッチングパラメータにプレコートを使用する、基板処理の再現性を維持することなども本発明の効果である。
適切な具体例およびベストモードについて述べてきたが、請求項に記載された本発明の目的、趣旨の範囲内において前記具体例に修飾、変更が加えられ得ることを理解すべきである。
本発明の例として、限定されることなく、図面及び同様な構成については同じ参照番号を付して、具体的に例示する。
図1はプラズマ処理システムを簡易的に表した図である。 図2Aは典型的な半導体ICの積層構造を表した断面図である。 図2Bは典型的な半導体ICの積層構造を表した断面図である。 図3Aは典型的な半導体ICの積層構造が崩壊する過程を表した断面図である。 図3Bは典型的な半導体ICの積層構造が崩壊する過程を表した断面図である。 図3Cは典型的な半導体ICの積層構造が崩壊する過程を表した断面図である。 図4Aは典型的な半導体ICの積層構造が捻じられる過程を表した断面図である。 図4Bは典型的な半導体ICの積層構造が捻じられる過程を表した断面図である。 図5は本発明の一実施例であって、プレコート工程を付加したプラズマ処理システムを簡易的に表した図である。 図6Aは本発明の一実施例であって、プレコートがトリムプロセスを最適化した積層の断面図である。 図6Bは本発明の一実施例であって、プレコートがトリムプロセスを最適化した積層の断面図である。 図7は本発明の一実施例であって、プレコート工程を加えたプラズマ処理システムにおける基板のエッチング処理のプロセスを表す図である。

Claims (6)

  1. プラズマ処理チャンバを含むプラズマ処理システムにおいて、基板の加工処理工程における処理の選択性を改善する方法であって、
    処理ガス混合物と親和性を有するプレコートガス混合物を前記プラズマ処理チャンバ内に流入させる工程と、
    前記プレコートガス混合物の第一プラズマを照射する工程と、
    前記基板を前記プラズマ処理チャンバに導入する工程と、
    前記プラズマ処理チャンバ内に処理ガス混合物を流入させる工程と、
    前記処理ガス混合物の第二プラズマを照射する工程と、
    前記第二プラズマにより前記基板をエッチングと積層の少なくとも1つを実施する工程と、
    を含み、
    前記第一プラズマが、前記プラズマ処理チャンバ内の表面にプレコート膜を形成して、前記第二プラズマ中の少なくともいくらかの量のラジカルを引きつけるように構成されており、
    前記プレコートガス混合物が、CHとCFとの混合物で構成されており、
    前記プレコートガス混合物を流入させる前に、ウエハのない状態で前記プラズマ処理チャンバを清浄化する工程を有していることを特徴とする処理の選択性を改善する方法。
  2. 前記処理ガス混合物が、エッチング種を含んでおり、
    前記プレコート膜が、保護膜形成種を形成するために前記エッチング種と化学的に反応し、
    前記保護膜形成種が前記基板の少なくとも一部を被覆する請求項1記載の方法。
  3. 前記処理の選択性が、フォトレジストのエッチングの選択性である請求項1記載の方法。
  4. 前記処理の選択性が、BARCのエッチングの選択性である請求項1記載の方法。
  5. 前記処理の選択性が、無機材料のエッチングの選択性である請求項1記載の方法。
  6. 前記処理の選択性が、シリコンのエッチングの選択性である請求項1記載の方法。
JP2007519252A 2004-06-30 2005-06-14 プラズマ処理システムにおけるエッチング耐性を最適にする方法 Active JP5139059B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/883,282 2004-06-30
US10/883,282 US7316785B2 (en) 2004-06-30 2004-06-30 Methods and apparatus for the optimization of etch resistance in a plasma processing system
PCT/US2005/021047 WO2006011996A2 (en) 2004-06-30 2005-06-14 Methods and apparatus for the optimization of etch resistance in a plasma processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012199346A Division JP5567084B2 (ja) 2004-06-30 2012-09-11 プラズマ処理システムにおけるエッチング耐性を最適にする方法

Publications (3)

Publication Number Publication Date
JP2008505490A JP2008505490A (ja) 2008-02-21
JP2008505490A5 JP2008505490A5 (ja) 2008-07-03
JP5139059B2 true JP5139059B2 (ja) 2013-02-06

Family

ID=35512818

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007519252A Active JP5139059B2 (ja) 2004-06-30 2005-06-14 プラズマ処理システムにおけるエッチング耐性を最適にする方法
JP2012199346A Active JP5567084B2 (ja) 2004-06-30 2012-09-11 プラズマ処理システムにおけるエッチング耐性を最適にする方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012199346A Active JP5567084B2 (ja) 2004-06-30 2012-09-11 プラズマ処理システムにおけるエッチング耐性を最適にする方法

Country Status (6)

Country Link
US (1) US7316785B2 (ja)
JP (2) JP5139059B2 (ja)
KR (1) KR101233453B1 (ja)
CN (1) CN101263092A (ja)
TW (1) TWI389196B (ja)
WO (1) WO2006011996A2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
US8501627B2 (en) * 2007-09-27 2013-08-06 Lam Research Corporation Profile control in dielectric etch
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment
CN101930921B (zh) * 2009-06-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 提高栅极尺寸均匀性的方法
JP5450187B2 (ja) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP2012015343A (ja) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp プラズマエッチング方法
TWI458011B (zh) * 2010-10-29 2014-10-21 Macronix Int Co Ltd 蝕刻多層硬式幕罩的方法
US8420947B2 (en) 2010-12-30 2013-04-16 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
JP5956933B2 (ja) 2013-01-15 2016-07-27 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9412606B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Target dimension uniformity for semiconductor wafers
JP6169666B2 (ja) * 2015-10-20 2017-07-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP6568457B2 (ja) * 2015-11-11 2019-08-28 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
WO2019138654A1 (ja) * 2018-10-26 2019-07-18 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
JPH0828348B2 (ja) * 1991-02-07 1996-03-21 ヤマハ株式会社 ドライエッチング方法
JPH04313223A (ja) * 1991-04-04 1992-11-05 Mitsubishi Electric Corp 半導体装置の製造方法
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3067576B2 (ja) * 1995-03-17 2000-07-17 株式会社日立製作所 プラズマエッチング方法
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
TW440952B (en) * 1999-07-12 2001-06-16 Lam Res Co Ltd Waferless clean process of dry etcher
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6420274B1 (en) * 2000-05-10 2002-07-16 International Business Machines Corporation Method for conditioning process chambers
JP2002025977A (ja) * 2000-07-06 2002-01-25 Hitachi Ltd ドライエッチング方法
JP2002184754A (ja) * 2000-12-13 2002-06-28 Seiko Epson Corp ドライエッチング装置のシーズニング方法
US6626187B2 (en) * 2001-02-07 2003-09-30 Promos Technologies Inc. Method of reconditioning reaction chamber
US6455333B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Method of achieving stable deep ultraviolet (DUV) resist etch rate for gate critical dimension (CD)
JP2002270584A (ja) * 2001-03-08 2002-09-20 Toshiba Corp 半導体装置の製造方法
JP2002319571A (ja) * 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
JP4322484B2 (ja) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4034164B2 (ja) * 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US20040110388A1 (en) * 2002-12-06 2004-06-10 International Business Machines Corporation Apparatus and method for shielding a wafer from charged particles during plasma etching
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials

Also Published As

Publication number Publication date
KR20070033010A (ko) 2007-03-23
JP5567084B2 (ja) 2014-08-06
TWI389196B (zh) 2013-03-11
JP2008505490A (ja) 2008-02-21
US7316785B2 (en) 2008-01-08
TW200614369A (en) 2006-05-01
US20060000797A1 (en) 2006-01-05
CN101263092A (zh) 2008-09-10
JP2012253386A (ja) 2012-12-20
WO2006011996A2 (en) 2006-02-02
WO2006011996A3 (en) 2007-04-19
KR101233453B1 (ko) 2013-02-14

Similar Documents

Publication Publication Date Title
JP5567084B2 (ja) プラズマ処理システムにおけるエッチング耐性を最適にする方法
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6291357B1 (en) Method and apparatus for etching a substrate with reduced microloading
JP5492557B2 (ja) 半導体基板を均一にエッチングするためのガス噴射
US8809199B2 (en) Method of etching features in silicon nitride films
US6569774B1 (en) Method to eliminate striations and surface roughness caused by dry etch
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US6541164B1 (en) Method for etching an anti-reflective coating
US7186661B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US20130344702A1 (en) Method of etching silicon nitride films
KR20160075358A (ko) 선택적인 질화물 에칭
US20060186087A1 (en) Etchant and method of use
KR20200027568A (ko) 순환적 패시베이션 및 에칭을 사용한 고 종횡비 선택적 측방향 에칭
US20070298617A1 (en) Processing method
US20070119545A1 (en) Method to improve profile control and n/p loading in dual doped gate applications
US7141505B2 (en) Method for bilayer resist plasma etch
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
US20030148224A1 (en) Methods for controlling and reducing profile variation in photoresist trimming
KR20150031227A (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
CN101133682A (zh) 用于蚀刻具有受控制的制程结果分配的方法
US20050239290A1 (en) Trench photolithography rework for removal of photoresist residue
US20030153193A1 (en) Etching method
TW202405942A (zh) 用於半導體製造的蝕刻方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080514

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080514

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110614

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110621

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110705

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110712

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110812

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110819

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111025

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120124

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120131

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120221

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120228

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120321

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120911

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121023

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121115

R150 Certificate of patent or registration of utility model

Ref document number: 5139059

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151122

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250