JP5013657B2 - 半導体プロセスパラメータを制御する方法 - Google Patents

半導体プロセスパラメータを制御する方法 Download PDF

Info

Publication number
JP5013657B2
JP5013657B2 JP2003544809A JP2003544809A JP5013657B2 JP 5013657 B2 JP5013657 B2 JP 5013657B2 JP 2003544809 A JP2003544809 A JP 2003544809A JP 2003544809 A JP2003544809 A JP 2003544809A JP 5013657 B2 JP5013657 B2 JP 5013657B2
Authority
JP
Japan
Prior art keywords
test structure
wafer
focus
pattern
changes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003544809A
Other languages
English (en)
Other versions
JP2005510058A (ja
JP2005510058A5 (ja
Inventor
ベネディク・ジョゼフ・ジェイ.
ハンキンソン・マット
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of JP2005510058A publication Critical patent/JP2005510058A/ja
Publication of JP2005510058A5 publication Critical patent/JP2005510058A5/ja
Application granted granted Critical
Publication of JP5013657B2 publication Critical patent/JP5013657B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus

Description

本発明は一般に、半導体ウェーハ上にプロセスに調節可能に敏感なリソグラフィフィーチャを作ることに関する。より具体的には本発明は、ウェーブフロントエンジニアリングを用いてターゲットを、ウェーハ上にレイヤを転写することを実現する装置におけるフォーカスおよび薬量のバラツキに敏感な半導体ウェーハ上に作る装置および方法に関する。
設計者および半導体デバイス製造者は、より小さいフィーチャを持つ回路が一般により速い速度および改善された歩留まり(標準的な半導体ウェーハから作られる使用可能なチップの数)を提供することを認識して、より小さいデバイスをウェーハから開発するために常に努めている。特にデバイス上に印刷される線幅については、一貫した寸法でウェーハを作ることが望まれる。しかしより小さいデバイス(転写されたフィーチャの微小寸法が露光波長より小さい)では、微小寸法の交差に適合する困難さが増す。ある種の光学近接効果補正(OPC)および位相シフトマスク(PSM)技術のような波面エンジニアリング技術はしばしばレチクルに応用されて、リソグラフィパフォーマンスを改善し、光学露光ツールの有効な寿命を延ばす。これらの技術によって作られた変化は、波面エンジニアリングと呼ばれる。位相シフトマスク(PSM)技術(強−交互、弱−埋め込み、および減衰)が主にリソグラフィ解像度を改善し、フォーカス深度を改善し、かつフォーカスモニタを用いてリソグラフィステッパまたはスキャナパフォーマンスをモニタする。光学近接効果補正は、マスクからウェーハへのパターン転写のあいだに起こるフィーチャ形状およびサイズの変化を補償するために、フォトマスクパターンの変更(バイナリチェンジ、すなわちマスク上でクロムを加算したり減算したりする)が行われる波面エンジニアリング技術である。これらのフィーチャの変化は、隣接リソグラフィフィーチャの存在、ウェーハステッパ/スキャナの限界、または与えられたウェーハプロセスステップの動作のバラツキによる過度の露光によって引き起こされえる。OPCはまた、位相シフトマスク上で用いられて、PSM技術からえられる優位性を最大限にする。OPC技術は、パターン忠実度エラー(空中からのイメージのクォリティの低下)について補正し、プロセスラチチュードを改善するのにしばしば用いられるが、OPCは解像度を改善するのには少ししか役立たない。OPCおよびPSM技術に加えて、さまざまな他の波面エンジニアリング技術が現在用いられる。例えば、「スキャッタバー」と呼ばれるサブ解像度フィーチャ(バイナリマスク追加または転写しないサブ解像度マスクパターン)は、空中イメージの形状を調整することー単にOPC技術を応用することーによって小さく分離され疑似的に密なフィーチャのリソグラフィ的なふるまいを改善する。
フォトリソグラフィ露光装置(スキャナ/ステッパ)におけるフォーカスおよび露光量の変化のようなプロセスパラメータのマイナーなバラツキは、ウェーハ上の微小寸法が許容可能な半導体製造公差(典型的なCD仕様では+/−8%)の外に出ることを引き起こしえる。多くのプロセスパラメータがシリコンウェーハ上のレジストパターンの寸法に影響を与ええる。最も重要なパラメータには、レジストの厚さ、フォーカス位置、露光量、レジストのプリ・ポストベーク温度および現像温度および時間が含まれる。フォトリソグラフィ露光ツールおよびフォトリソグラフィレジストトラックは、プロセス条件(ベーク時間、露光量、フォーカスなど)の微小な変動する変化を連続的にモニタし調整するが、結果として生じるレジストフィーチャサイズまたは微小寸法は、全てのプロセス変数の複雑な結果である。典型的には半導体製造施設は、露光量(例えば時間的な変化)だけを調節することによってプロセスのバラツキ(ドリフトするCD)について補正する。これは、たいていの経済的に見て可能なソリューションを提供する傾向にある。
フォトリソグラフィは、半導体製造プロセスのうちで最も重要なステップのうちの一つである。フォトリソグラフィプロセスのあいだ、半導体ウェーハは、フォトレジストまたはレジスト(例:化学増幅レジスト(CAR))と呼ばれる感光性材料で覆われ、作用光源(エキシマレーザ、水銀ランプなど)で露光される。露光用光線は、フォトマスクを透過し、投影光学系を介してレジストで覆われたウェーハ上に結像され、フォトマスクの縮小されたイメージをフォトレジスト中に形成する(典型的には4倍または5倍に縮小)。ポジ化学増幅レジスト(CAR)については、作用光源は典型的には、ポスト露光ベークのあいだに拡散する光酸の発生を引き起こし、露光量のほとんどを受けた領域においてだけ水溶性現像液によってレジストが洗い流されることを許す。現像プロセスに続いて、レジストでパターンが付けられたウェーハは、計測ステーションに送られ、微小寸法またはパターン付けされたレジストフィーチャの形状が測定される。典型的な計測ツールには、散乱計、走査電子顕微鏡および原子間力顕微鏡が含まれる。フォトリソグラフィプロセスの最後のステップには、レジストで覆われたウェーハを複雑なプラズマ化学を用いてエッチングして、フォトレジストで覆われていない半導体材料を侵す。
エッチングに続いて、レジストで覆われたウェーハは洗浄され、走査電子顕微鏡または他の計測器に送られ、最終的なリソグラフィ検査が行われる。転写プロセスの正確な制御が、ウェーハ上のパターンを形成するデバイス線幅が公差内に入ることを確実にするために必要である。もし問題が間に合って検出されるなら、エッチングの前にリソグラフィプロセスを繰り返すことが可能である。フォトレジストウェーハが物理的にエッチングされた後なら、フォトリソグラフィイメージングプロセスを修正するには遅すぎる。現在は、用いられる一つのモニタリング技術は、エッチング前に線幅(微小寸法)が許容可能な範囲の外に落ちていないかを決定するために、レジストでイメージされたウェーハのロットサンプリングである。しかしデバイスのサイズが極端に小さいことから、例えばデバイスサイズが0.15μm以下であることから、高価で遅い計測技術が必要である。これらの寸法で、線幅を測定するのに現在用いられる数少ない効果的なツールの一つは、走査電子顕微鏡(SEM)である。ウェーハは、そのプロセス位置から取り出されて、SEMに搬送されなければならない。さらにSEM検査に必要とされる時間は非常に長いので、典型的なサンプリングレートでは、大量のウェーハがエッチングされた後でなければプロセスドリフトを検出できない。
他のモニタリング計測には、複雑で高価なルックアップライブラリを用いる散乱計測技術(エリプソメトリ、可変角、反射)、および安価な光学計測ツールおよびラインエンド短絡技術を用いるフォトレジストパターン付きウェーハの微小寸法を間接に測定するためのデュアルトーンライン短絡(「シュニッツェル」)アレイを利用する光学CD技術が含まれる。OCD技術は高速で安価であるが、この技術は、日々の製造モニタリングルーチンに必要とされる最適なプロセス感度を持つかもしれず持たないかもしれない。実際にはOCD技術は、フォーカスおよび露光の変化についての複雑なCDドリフトの2次多項式記述を構築することによってフォーカスおよび露光ドリフトの両方を決定するのに用いられえる。しかしフォーカスドリフトの絶対的な方向を決定する能力は、フォーカスがずれたテストフィールドのさらなる転写を要求する。これは高価な露光時間および半導体ウェーハ上のスペースが必要となる。
必要となるのは、プロセス感度の調整可能なレベルを持ち、フォーカスドリフトの方向を予測できる光学計測技術を用いて半導体プロセスにおけるバラツキを検出する迅速で安価な方法である。
前述を達成するために、本発明の目的によれば、フォトリソグラフィプロセスパラメータをより多目的に効率的にモニタリングおよび制御する方法が説明される。
本発明は、プロセス感度の調整可能な程度を持ち、フォーカスドリフトの絶対的な向きを決定するのに用いられえる変更されたターゲット構造を用いてプロセスパラメータの変動を制御する方法を提供する。マスクレベルデュアルトーンペアラインショートニングテスト構造(例えば「シュニッツェルアレイ」)を変更するために光学近接補正および/またはPSM技術が用いられて、CD対フォーカスおよび露光量プロセス感度をカスタマイズする。変更されたシュニッツェルアレイテスト構造は、ウェーハ上の選択された位置において転写され、半導体リソグラフィプロセスをモニタするのに用いられる。もしプロセスが変化するなら、フォーカスおよび露光量パラメータはテスト構造の測定値に応答して調整されえる。
ある局面において、半導体プロセスパラメータを制御する方法は、テスト構造を持つパターンをレチクル上に形成すること、および波面エンジニアリングフィーチャを利用して前記レチクル上に現れる前記テスト構造の転写特性を変更することによって、リソグラフィプロセス変化に対する前記テスト構造の感度を調整することを含む。
他の局面において、光学近接補正または位相シフトマスクフィーチャが配置され、フォーカスおよび露光量プロセス変化を区別できるテスト構造を変更する。波面エンジニアリングフィーチャは、ターゲット構造の感度が調整されることを可能にする。この感度はプロセスをモニタするのに用いられ、すなわちフォトリソグラフィツールのフォーカスまたは露光量が変化したかを決定するのに用いられる。
他の局面において、波面エンジニアリングフィーチャは、非対称的に配置されたOPCフィーチャであり、これによりプロセスフォーカス変化の向きおよび大きさについての決定が可能になる。
本発明のこれらおよび他の特徴および優位性は、本発明の以下の説明および添付図面においてより詳細に示され、これらは本発明の原理を例示的に示す。
本発明は、以下の詳細な説明を添付の図面とあわせれば容易に理解され、ここで同様の参照番号は同様の構成要素を示す。
本発明の具体的な実施形態が詳細に参照される。これら実施形態の例は、添付図面において図示される。本発明はこれらの具体的な実施形態について説明されるが、本発明はこれらの実施形態に限定されるよう意図されていない。むしろ添付の特許請求の範囲によって規定されるように本発明の真の精神および範囲内に含まれるよう改変、変更、および等価物をカバーするように意図される。以下の記載においては、多くの具体的な詳細が本発明の完全な理解を与えるために述べられる。本発明はこれらの具体的な詳細の一部または全てがなくても実施できる。あるいは既知のプロセス操作は、本発明の本質を不必要にぼかさないために、詳細に記載されない。
図1は、レチクル上に現れるパターンのバイナリ変化の形状におけるさまざまな波面エンジニアリング技術の使用の概略図である。これらの変化は、ウェーハ上に転写されたパターンの寸法を制御する。図1は、アレイ100を構成するテスト構造フィーチャ102、104、106、108および110を持つレチクル上のOPC補正されたアレイ100を示す。セリフ(serifs)112は、テスト構造フィーチャ102に追加されることによって転写されたパターン上での角の丸みおよびフィーチャ長短縮を低減する。セリフ112は、サブ解像度補助フィーチャ、すなわちテスト構造フィーチャの転写されたウェーハ上への転写を制御するが、それら自身は転写されたテスト構造には現れないレチクル上に現れるフィーチャの例である。図1に示されるサブ解像度補助フィーチャの他の例には、ラインジョグ120および122と共にスキャッタリングバー114、116、および118が含まれる。
図1に示されるサブ解像度補助フィーチャ112〜122は、転写されたウェーハ上のラインエンド短縮効果を制御するよう構成されえる。ラインエンド短縮効果の量は、プロセスパラメータの変化に敏感である。プロセス変化によるラインエンド短縮効果を制御するために任意の適切なサブ解像度フィーチャが用いられえる。波面エンジニアリング技術は、例えばスキャッタリングバー、ハンマーヘッド、およびセリフを含むさまざまな形態のサブ解像度補助フィーチャを利用する。
波面エンジニアリング技術は、より多くのプロセスラチチュードを作り、それによりリソグラフィプロセスのプロセスウィンドウを改善する。この融通性によって転写された形状は、狭い公差の中に収められる。サブ解像度フィーチャは、波面補正のよく知られた原理を利用することによって、この結果を達成し、バイナリマスクおよび位相シフトマスクの両方において用いられえる。バイナリマスクにおいては、不透明な部分(典型的にはクロム)およびレチクルの透明な部分によって作られたパターンが用いられて、さまざまな技術を用いて露光されたウェーハ上にパターンを作る。位相シフトマスクは入射する露光ビームの位相をさらに操作することでウェーハ上のパターンの位置を特定する。レチクルは、当業者によってよく知られる技術にしたがって作られえる。
たいていの典型的な応用例では、サブ解像度フィーチャは、プライマリパターンフィーチャ(すなわちウェーハ上に転写されることが望まれる回路のライン部分)の横に配置され、リソグラフィプロセスの露光ツールにおけるフォーカスまたは露光量(dosage)のドリフトのような、ウェーハフィーチャのプロセス制御における変化に対する感度を減らすのに用いられる。これはしばしば望まれることで、回路パターンはプロセスがドリフトするときであっても一貫して転写される。そうでなければ、ライン長における変化は回路に悪影響を与えうる。例えば、コンタクトは、長さが縮まった特定の導電ラインとは適切にアラインしなくなりえる。
製品仕様はふつう、ライン幅のようなデバイス寸法のための許容可能な範囲つまり公差を提供する。用いられる極端に小さい寸法に適合することを確実にするために、高価で時間のかかる検査方法が従来用いられてきている。例えば完成したウェーハのサンプリングは、走査電子顕微鏡(SEM)によって検査される。SEM測定は、リソグラフィプロセスの初期セットアップにおける用いられるが、ポストパターニングSEMサンプリング検査は、従来のプロセスにおいて微小寸法が許容可能な限界の中に留まっていることを確実にするために必要であった。微小寸法は、プロセス変化のために変化しえる。
理論上は高くされた感度はパターンありウェーハにおいて望ましくないが、製造中にフォーカスおよび露光量パラメータのドリフトをモニタするためには効果的に用いられえる。プロセスパラメータの変化、すなわち「プロセスウィンドウ」における変化は転写されたパターンにある程度、影響を与えるが、多くの場合はプロセスウィンドウにおける位置のシフトは、デバイスの微小寸法において小さな変化しか作りださない。この問題に対応するために、すなわちよりよくプロセス変化をモニタリングするために、デュアルトーンラインエンドショートニングアレイ(dual tone line end shortening array)のような専門のターゲット構造(例えばテスト構造)が従来、ウェーハ上の目立たない位置に転写するためのレチクル上に配置されてきた。これらのラインショートニングアレイは、プロセスパラメータ変化により感度が高く、ラインショートニングが光学測定ツールを用いて検出されることを可能にする。しかしプロセスウィンドウ内のある種のバラツキは、これらのラインエンドショートニングアレイおよび光学ツールを用いても容易には検出されえない。例えばプロセスウィンドウは、主にフォーカスおよび露光量の組み合わせとして特徴付けられえる。デュアルトーンアレイのようなテスト構造は、プロセスウィンドウからの大きな変動には敏感かもしれないが、初期に選択されたフォーカスおよび露光量からの小さなズレ、例えば数nmの範囲の+または−のフォーカスの変化は、デュアルトーンラインエンドショートニングアレイに応用される光学測定を用いては検出されないかもしれない。波面エンジニアリングのフィーチャをデュアルトーンアレイの近傍に配置することは、ある実施形態においては、デュアルトーンアレイまたは他のターゲット構造を、プロセスウィンドウのその部分における小さなプロセス変化に対してさえも敏感にさせ、よって光学測定ツールを用いて検出可能にする。
本発明は、微小寸法に悪影響も及ぼしえるプロセスの変化を直接にモニタリングする調整可能に敏感なテストターゲットを用いる方法を提供する。テストターゲットは、ウェーハフィーチャに相関のあるプロセスセンシティブなターゲットを用いて光学またはSEMツールを用いてプロセスをモニタするために測定されえる。プロセスは制御されるので、微小寸法もまた理想的に制御される。したがって微小寸法は製造後に独立して確認される必要はない。
前述のようにOPCおよびPSMのフィーチャは、典型的には、回路のパターン付きラインを、フォーカスおよび露光量のようなリソグラフィプロセスパラメータの変化により感度が低い転写されたウェーハ上に作るために用いられる。しかしOPCおよびPSMフィーチャの適切な選択(例えばそれらのサイズ、設計、および配置)を通して、パターン付きデバイスラインは、プロセス中のフォーカスおよび露光量に対するある程度の範囲を持った感度で作られえる。すなわち、フォーカスおよび露光量のバラツキが、所望の、または制御可能な範囲のラインエンドショートニングを作り、それによってプロセス変化のよりよいモニタリングを提供し、かつそれによってよりよいプロセス制御を可能にするように、OPCおよびPSMフィーチャは構成されえる。本発明の実施形態を用いて、ラインエンドショートニング技術およびOPCまたはPSMフィーチャを利用するテストターゲットまたはテストターゲットのアレイは、プロセス変化に対して最大の感度を持つように設計されえる。テストターゲットは、機能する回路の一部ではないので、プロセス変化への高められた反応は、回路の機能に悪影響を及ばさない。ラインエンドショートニングの量は、波面エンジニアリング技術を用いて調整されえる。
図1および図2A〜2Bは、本発明の実施形態によって、調整可能に感度を持つ転写されたリソグラフィフィーチャをウェーハ上に作るために用いられる波面エンジニアリング技術をさらに示す。図1のテスト構造フィーチャ102〜110によって実現されるアレイは、より感度の低いテスト構造フィーチャ(112および114と併せた102)から、より感度の高いテスト構造フィーチャ(122と併せた110)までの範囲を持つ。図2A〜2Bは、図1に示されるレチクルからのアレイ100を用いて形成されたダイ208を持つパターン付きウェーハ206におけるこの感度範囲を示す。図1のレチクル上に現れたサブ解像度フィーチャ112〜122は、図2A内のフィーチャされた転写パターン内に現れず、あるいは図2Bに示される転写パターンの拡大図にも現れず、しかしそれらの転写されたウェーハパターンへの影響は識別可能である。ラインエンドショートニング(線端後退)は、リソグラフィプロセスの物理学的および化学的な複雑な関数である。アレイを備えるテスト構造と共に用いられるとき、ラインエンドショートニングは、典型的には距離221(L)のような、アレイを構成する2本のフィーチャ間において測定される。転写されたライン210は、最小ラインエンドショートニングを示し、一方、ライン212〜218は徐々に大きくなるラインエンドショートニングを示す。
ラインエンドショートニングターゲットアレイの感度は、光学的近接および位相シフトマスク補正の構成および設計で変化する。より具体的には、プロセス変化に応答した選択されたラインエンドショートニングターゲット寸法の変化は、波面エンジニアリングフィーチャの設計と共に変化する。製品ウェーハ中のプロセスウィンドウ内の位置のシフトは、ウェーハ内にわたって、またはウェーハ群間で発生しえる。要するに、プロセスパラメータのドリフトの間接的測定は、ラインエンドショートニングターゲットアレイの幾何学的変化によって提供される。
まず、シミュレーションが、波面エンジニアリングフィーチャ(wavefront engineering features)の設計を決定して、テストターゲットパターン内の寸法(長さ)の所望の感度を作るために行われる。あるいは、波面エンジニアリングフィーチャの最適設計は、ステッパマシンを用いて、ラインエンドショートニングターゲットアレイのマトリクスを異なるフォーカスおよび露光量の組み合わせにおいて、ラインエンドショートニングターゲットアレイに対してさまざまなサイズ、設計、および位置を持つ波面エンジニアリングフィーチャのマトリクスを持つレチクルを用いて露光することによって決定されえる。
シミュレーションは、カリフォルニア州、サンノゼのKLAーTencorによって製造・販売されるProLithツールのような商用シミュレーションツールによって実行されえる。プロセス変化および異なる波面エンジニアリングフィーチャの構成の変化によって引き起こされる微小寸法変化をシミュレーションするために、他の相当製品も利用可能である。図3は、本発明のある実施形態によってサブ解像度補助フィーチャ(subresolution assistance features)の構成および大きさを決める設計プロセスを示すフローチャートである。まず、フォトリソグラフィツールについての選択された設定がシミュレーションツールに入力される。これらの設定は、フォーカス、露光量、およびステッパ設定およびさまざまなレジストパラメータ(302)のようなターゲット構造の転写された寸法(printed dimension)に影響を与えうる多くの他のプロセスパラメータを表しえる。サブ解像度補助フィーチャのサイズおよび構成の初期設計設定は、それからシミュレータに入力される(304)。あるいは複数の異なる波面エンジニアリングフィーチャの構成が入力されえる。初期設定は、フォトリソグラフィシミュレーションツールを操作する個人の技術と経験とに基づいて評価されえる。
初期設定はそれからシミュレーションツール上で実行されて、結果として生じる転写されたテスト構造の、フォーカスおよび露光量レベルの変化に対する感度レベルを確かめる。シミュレーションツールは、露光ツールの露光量およびフォーカスの両方のドリフトに対応するターゲット上での微小寸法の変化(例えばラインエンドショートニング)を計算する。図4を参照して異なる感度が図示され、後述される。例えば、もし検査ツールがプロセスパラメータ変化によって生じた寸法変化に対して相対的に感度が低いなら、プロセス変化により高い感度を示すターゲット構造が選択される。光学検査ツールは一般に、現在製造されている集積回路中によくある線幅を直接に測定することができない。よって本発明において記載される波面エンジニアリング技術と共に転写されたデュアルトーンアレイのようなテスト構造は、光学技術を用いて測定されえる調整可能な感度を持つリソグラフィフィーチャを提供する。もしより高くされた感度のターゲット構造が選択されるなら、KLAーTencorの5300 Optical Overlayツールのような光学検査ツールがプロセスモニタリングを実行するように構成されえる。SEMツールも用いられえるが、これらは費用が高くなりモニタリングにかかる時間も長くなるような欠点をいくつか持つ。
続いて図3において、OPCフィーチャまたは他の波面エンジニアリング技術の設計によって作られた感度を示すシミュレーションされた結果を得るために、シミュレーションツールがそれから実行される(306)。後述のように、波面エンジニアリング技術設計は、OPCフィーチャに加えて、またはその代わりに位相シフトマスクフィーチャを組み込みえる。シミュレーションツールは、ある実施形態においては、2次元または3次元グラフの形で結果を出力する(308)。微小寸法Lの変化対フォーカス変化を示す例示的なグラフは、図4に示され後述される。波面エンジニアリング設計に対応する感度が満足のいくものであるかに関する決定がそれからなされる(310)。ある実施形態においてこれは、経験的な結果を用いて観測することによって実行されが、統計的あてはめ方法使用されえる。例えば、特定されたフォーカスおよび/または露光量の変化が、ターゲット構造の微小寸法における対応する測定可能な変化を生むかどうかが決定されえる。波面エンジニアリング設計はそれから、他のシミュレーション実行に備えて変化されえる(312)。当業者は、レチクル上のサブ解像度補助フィーチャの構成およびサイズに対してなされたような、波面エンジニアリング設計の変化に基づいて最適な感度を実験的に決定できるだろう。ステップ304〜312は、所望の感度結果がシミュレーションにおいて作られるまで繰り返される。シミュレーション方法が好ましいが、適切な波面エンジニアリング設計は実験的に決定されえる。
プロセス制御を引き起こすために、サブ解像度フィーチャのサイズ、設計、および構成のような選択された波面エンジニアリング設計と共に、所望の感度を反映するターゲット(群)が製品レチクルに集積されえる。ある適切な位置は、ウェーハダイ間に走るスクライブラインに対応する。
図4に示されるように、微小寸法対フォーカスのグラフは、感度がターゲット構成にしたがって変化する様子を示す。例えばサブ解像度フィーチャ構成1を表すカーブ402は、フォーカスの変化に対する低い感度を示す。フォーカスが点404(「最良フォーカス」)から点405(「デフォーカス」)に変化したとき、結果として生じる微小寸法または長さ(例えばラインエンドショートニング)は、量414だけ変化する。レチクル上のターゲットについての第2サブ解像度フィーチャ(グラフ408によって示される)および第3サブ解像度フィーチャ(グラフ410によって示される)は共に、フォーカス変化に対するより高い感度を示す。グラフ410によって示されるように、微小寸法Lは、点404から点405へのフォーカス変化に対応し、418の長さだけ変化し、これは長さ変化414よりもずっと大きい。よって構成3は、フォーカス変化に対する構成1よりもより高い感度を示す。
上述の技術はバイナリマスクを背景として記述されてきたが、位相シフトマスクを用いる波面エンジニアリング技術を代替として利用してもよい。位相シフトマスクは典型的には、与えられたウェーハステッパ露光ツールの解像度を改良するために用いられる。交互の位相シフトマスクは、エッチングされた透明領域をレチクル上の不透明領域群の間に持つ。このエッチングされた領域は、ウェーブステッパ波長において半波長位相シフトを作る。これは、「強い」位相シフトマスク(PSM)技術の例であり、ウェーハステッパの解像度をかなり改善しえる。解像度においてより少ない改良しか提供しないが製造がより簡単な他のPSM構造は、埋め込みPSMマスク(embedded PSM mask)である。埋め込みPSMは、5%から10%の透過率を提供する減衰フィルムと共に180度の位相シフトを持つ透明フィルムを有する2層構造を備え、これら全ては基板上に堆積される。これらの位相シフトマスク技術は、デュアルトーンアレイのようなテスト構造と共に利用されえ、また転写されたリソグラフィフィーチャをプロセス変化に対する所望の感度を持つウェーハ上に提供するように構成されえる。
結果として生じる波面エンジニアリング構成設計(例えばPSMまたはOPC技術のいずれかを利用すること)はそれから、シミュレーションツールまたは経験的結果の補助で作られたレチクルを用いて、またフォーカス・露光マトリクスを用いて検証されえる。このテストウェーハはFEMウェーハとしても知られ、システムを再較正するために製造中に周期的に用いられえる。露光のマトリクスは、選択された波面エンジニアリングフィーチャによって変更されたテスト構造を含むテストレチクルを用いて実行される。露光フィールドは、フォーカスおよび露光設定のある範囲に渡って露光され、これらの設定におけるラインエンドショートニングの量が測定される。これらの測定値はそれからラインエンドショートニングモデルを調整するために用いられる。
図5に示されるように、波面エンジニアリングフィーチャの所望の設計で所望のテスト構造を持つレチクルがまず作られる(504)。それから露光ツールのフォーカスおよび露光設定が、選択されたプロセスパラメータにしたがって初期設定に調整される(506)。ステッパマシンはそれからレチクルおよび露光ビームをウェーハ上の新しいフィールドに動かし、このフィールドは最初は選択された初期フィールドに対応する(508)。ウェーハ上のこの位置におけるフィールドがそれから露光される(510)。この初期フォーカスおよび露光設定は、テスト構造上の微小寸法に対するプロセスパラメータのバラツキの効果を評価するのにレファレンスとして用いられえる。
露光の結果は、ウェーハ上に転写されたテスト構造である。出力は、ラインエンドショートニングの量を決定するための、テスト構造上の選択されたラインの光学測定値の形でありえる(512)。他の実施形態においては、出力は、画像比較および分析目的のための画像処理ソフトウェアに送られる光学画像でありえる。
他のフィールドの露光が処理されるべきかについての決定が続く(514)。もしさらなるフィールドが露光される必要があるなら、新しいフォーカス・露光の組み合わせが選択される(516)。少なくとも、デバイス中の微小寸法の許容可能な範囲を生むフォーカスおよび露光設定が、作られたマトリクス中で評価されなければならない。ステップ508〜516は、露光・フォーカスの組み合わせに対応するフィールドが完了するまで繰り返されえる。
このセットアッププロシージャにおいて集められたデータは、サブ解像度フィーチャが最適な感度レベルを生むかを検証するのに用いられえる。感度パターンは、モデルに対する統計的なあてはめにしたがって選択されえる。テストパターン(「テスト構造」)上で測定された光学微小寸法(「OCD」)およびSEMを用いて測定されたチップ微小寸法の間の関係を確立するためにFEM較正が実行される。
ある実施形態においては、OCD測定値は、リソグラフィプロセス変化に応答するフォーカスおよび露光変化を記述する数学的モデルを決定するために用いられる。数学的モデルは、「Process for Controlling Exposure Dose or Focus Parameters Using Tone Reversing Pattern」と題された米国特許第5,976,740号により完全に記載され、その全体が参照によって援用される。
いったん図5を参照して上述のこれらのセットアッププロシージャが完了すると、ターゲット構造は、製品ウェーハが転写されるときのフォーカスおよび露光量の一時的(ウェーハからウェーハ)バラツキを認識するために用いられえる。この技術は、ダイ中のウェーハ(空間的)のバラツキにわたって検出するのにも有用である。ウェーハの周期的サンプリングが、ターゲットを検査するために実行されえ、または代替としてそれぞれのウェーハがそれぞれ検査される。
本発明の方法を用いて利用できる感度は、インライン光学ツールを用いてユーザがそれぞれのウェーハを検査することを可能にし、それによってプロセスのスループットを高める。光学ツールは、インラインで利用されえタイムペナルティもないが、これは多くのプロセスにおいては、光学オーバレイツールがすでに、フォトリソグラフィプロセスの直後に異なるレイヤのアライメント検証のために用いられているからである。
図6に示されるように、プロセス制御のためのターゲットの光学検査は、SEM検査ステップおよびそれに関わる時間およびコストを省く。当業者によく知られた方法によって、まずガラスマスク上に現れるパターンがウェーハ上に転写される(602)。ウェーハはそれから、光学オーバレイツール(または他の適切な微小寸法測定ツール)の下に置かれ、プロセス変化、すなわちフォーカスおよび露光量のいずれかのドリフトを確かめる(604)。ターゲットフィーチャはプロセスパラメータ変化に相関するので、測定されたターゲットフィーチャが図5について上述の許容可能な範囲を超えるかについての決定がそれからなされる(606)。もし測定されたフィーチャが許容可能な範囲の外に入るなら、プロセスパラメータの調整がなされる(608)。前述のように、許容可能なウィンドウの境界は、光学的に測定されたラインエンドショートニングからの統計的あてはめ(statistical fit)を用いて決定されえる。光学的測定および対応する調整は、ある実施形態においては手動で行われえる。他の実施形態においては、検査、調整、またはこれらの両方は、当業者によく知られた技術によって自動で実行されえる。もし測定された微小寸法が許容可能な範囲内に入るなら、そのウェーハについての検査プロセスは終了し(610)、ウェーハの製造はフォーカスまたは露光量設定の調整をせずに継続する。
いくつかのターゲットは、プロセスにおける大きなバラツキを示しえるが、露光量によって引き起こされたバラツキおよびフォーカスによるバラツキの間で区別することができないかもしれない。本発明の技術は、テスト構造が、フォーカスまたは露光量変化のいずれかによって引き起こされたプロセス変化群の間で区別することができるときに最大の効果を発揮して用いられえる。しかし本発明は、このようなテスト構造と共に用いることに限定されるようには意図されない。ここに記載された波面エンジニアリング技術は、フォーカスまたは露光量のような一つのパラメータだけによって、またはレジスト厚さのバラツキのような他のパラメータによって引き起こされたプロセス変化を区別することができるテスト構造に応用されえる。
フォーカスおよび露光量変化の間で区別するためのある具体的な有用なターゲットのクラスは、「シュニッツェル(schnitzl)」ターゲットとも呼ばれるデュアルトーンイメージショートニングアレイ(dual tone image-shortening arrays)である。これらのターゲットは、ネガ(リバース)のトーンアレイと組み合わされたポジのトーンアレイを備える。図7Aは、最良フォーカスにおいて転写された従来のデュアルトーンラインショートニングアレイを示す。デュアルトーンアレイ700は、ポジアレイ702およびネガアレイ704を備える。個々のバーはSEM画像では見えるが、アレイの所望の特性は、光学顕微鏡を用いて決定されえる。個々のバーは典型的には光学顕微鏡では見えないが、ラインエンドは連続し、光学的に分離され、高コントラストエッジとして見える。ラインショートニングは、ターゲット構造700における列の間のL1およびL2のような距離によって測定されえる。露光量の変化は、ポジトーンアレイに変化を作り、その変化はネガトーンアレイの変化と反対である。フォーカス変化は、ネガトーンアレイと同じ変化をポジにも作る。デュアルトーンアレイのこれらの特性および方程式のセットは、このようなテスト構造からの測定値は、リソグラフィプロセスにおけるフォーカスおよび露光量変化の間で区別することを可能にする。
回路またはデバイス微小寸法は、上述のフォーカス露光量マトリクスを用いて光学微小寸法測定値で較正される。フォーカスおよび露光量バラツキ間で区別し、フォーカスおよび露光量変化を、所望のフィーチャからの測定されたCD値と相関させるための数学的モデルが作られえる。このプロセスはまず、相補的トーン・リバーシングパターンを一連の異なる露光量およびフォーカス条件の下で転写することによって特徴付けられる。それぞれの(Ei,Zj)の組み合わせについて、形状(Aij)およびスペース(Bij)寸法が測定される。図7Aに示される寸法L1およびL2は、形状(Aij)およびスペース(Bij)寸法を表す。ターゲット値(Ato,Bto)は、回路パターンの所望の寸法への相関によって決定される。zについてAおよびBの変化率が最小である最適フォーカスZoにおいて、ターゲット値は、単一の露光値Eiに対応しなければならない。
ターゲット値(Ato,BtoおよびEt,zc)の近傍における形状およびスペース寸法の露光量およびフォーカスへの従属性は、パラメトリック方程式のセットによってモデル化される。
Figure 0005013657
ここでα、β、εおよびζは、ターゲット値からの偏差として定義され、α=A−Ato、β=B−Bto、ε=E−Et、およびζ=z−zoである。
例えば、以下の方程式は、露光量感度(勾配パラメータa2、b2によって表現される)およびデフォーカス感度(曲率パラメータa1、b1によって表現される)が形状およびスペースパターン間で異なる場合を捉えるために用いられる。
Figure 0005013657
パラメータ(a1、a2、…)および(b1、b2、…)は、測定された露光量フォーカスデータへの従来の最小二乗あてはめによって決定されえる。いったんパラメータがモデルへのあてはめによって確率されると、方程式(1)のセットが用いられて、形状およびスペースへの露光量およびフォーカスの従属性について解く。
Figure 0005013657
Figure 0005013657
例えば方程式(2)によって与えられると、方程式(3)および(4)は解析的に以下のように表現されえる。
Figure 0005013657
Figure 0005013657
図7Bにおいて示されるように、微小寸法(光学的に測定される)対フォーカスのグラフは、最良焦点について対称的な最良適合曲線を作る。曲線の対称性からわかるように、ラインショートニングアレイの光学測定から決定される微小寸法について2つの解法(すなわち2つのデフォーカス値)が存在する。プロセスパラメータへの適切な調整を促進するために、フォーカスのドリフトの大きさおよび向きの両方が決定されなければならない。
多くの従来のターゲット構造は、フォーカスおよび露光量変化に対して応答するが、追加のフィールドなしにフォーカスドリフトの向きを区別できるものは存在しない。例えば、デュアルトーンペアのラインショートニングアレイと共に、2つの追加フィールドの露光は、「From Compliance To Control: Off-roadmap Metrology For Low-k1 Lithography」、Proceedings S.P.I.E. 4344-01(2001年2月26日)に記載され、その全体がここで参照によって援用される。2つの追加フィールドの露光は、ターゲットをデフォーカスされた条件で露光し、それによりフォーカスドリフトの向きを決定するために必要である。本発明は、ある実施形態においては、バイナリOPCフィーチャを配置することによってデフォーカスされたパターンを作り、それにより1つ以上の追加のフィールド露光からの歩留まり低下を要求することなく、フォーカスのドリフトの向きを特定する。
本発明は、波面エンジニアリング技術を利用して、ベストフォーカスにおいて転写されるテスト構造と同じ露光フィールド内にフォーカスがずれた1つ以上のテスト構造を転写する。ある実施形態においては、本発明は、OPC技術を用いてデュアルトーンアレイ(例えばシュニッツェル)ターゲットを転写するが、これは所定の向き(+または−の向き)にフォーカスがずれて転写される。これらのデフォーカスされたターゲットは、インフォーカス転写されたターゲットと同じフォーカスおよび露光量設定を用いて同じフィールド内に転写されえる。フォーカスドリフトの向きを決定するために、OPC技術がテスト構造に応用され、それによりテスト構造のうちに少なくとも1つがフォーカスがずれて転写されるようにする。他の実施形態においては、OPC技術が利用され、それにより少なくとも2つのターゲットが、インフォーカスのテスト構造と同じ露光フィールドにおいてフォーカスがずれて転写される。
OPCシフトされた(デフォーカスされた)ペアラインショートニングアレイ800の例は図8Aに示される。デフォーカスされたアレイ800は、レチクル上に見えるのと同じにように見える。前述のようなOPC技術は、レチクル上のテスト構造に応用され、それによりテスト構造、すなわちデュアルトーンラインショートニングアレイがウェーハ上に、フォーカスがはずれて露光がなされたのと全く同じように転写される。形状802、803は、例えば、延長フィーチャ808と共に構成されえ、それにより形状803のようなテスト構造主要フィーチャは、プロセス変化により多くのプルバックを示し(すなわちより高い感度を示し)、さらにデフォーカス状態で転写する。スペース804、805は、主要テスト構造フィーチャ802がプロセス変化に対して感度がより低くなるようにさせるために減感スキャッタバー806と共に構成されえる。図8Bに示されるように、光学的に測定された微小寸法のグラフは、デフォーカスされたテスト構造について+または−0.2ミクロンにおいて中心付けられた応答を示す(OPCフィーチャが対称的に適用されてアレイのそれぞれの部分が均等な感度を持つテスト構造に対して)。この実施形態において、OPC技術はテスト構造に適用され、それによりテスト構造の転写がフォーカスがずれて行われるようにする。この技術は、最良フォーカスにおいて転写された1つ以上のテスト構造と同じ露光フィールドにおいてテスト構造が最良フォーカスにおいて転写されることを可能にする。この技術は、それらを意図的にフォーカスをずらして転写することによってテスト構造を追加露光フィールドに転写する、従来技術において記載された歩留まりの損失を招く技術を避ける。フォーカスシフトの向きは、標準(最良フォーカスにおける)ターゲット構造から決定される光学微小寸法を、1つ以上のデフォーカスされたターゲット構造の光学微小寸法と共に評価することによって決定されえる。例えば図8Cは、最良フォーカスにおいて転写されたテスト構造およびデフォーカスされた状態(+0.2ミクロン)で転写されたテスト構造を用いたフォーカスシフトの向きの決定の例を示す。標準ターゲット構造についてのフォーカス応答曲線830は、最良フォーカス位置(0ミクロン)に近傍に中心付けられて示される。AのCD測定例は、プロセスがフォーカスにおいて、点834および835に対応する+または−0.10ミクロンのデフォーカスされた状態にシフトしたことを示唆する。デフォーカスされたテスト構造についてのフォーカス応答曲線836は、+0.2ミクロンにおいて中心付けられて示される。同じプロセス条件についてのBにおけるCD測定例は、それぞれ点838および839に対応する0.10または0.30ミクロンのいずれかだけプロセスがシフトしたことを示唆する。よって2つのグラフは、フォーカスのシフトが正の向きであることを示し、フォーカスシフトの向きおよび大きさの両方が決定されることが可能になる。上述のように、プロセスウィンドウのシフトは、較正フェーズにおけるフォーカス露光マトリクスからのターゲット構造微小寸法測定値および相関する値を用いて数学的モデリングによって決定されえる。
これらの非常に非対称なデュアルトーンラインショートニングアレイは、ターゲットパターンを変更するためにOPC補正を用いて作られる。その結果、デュアルトーンアレイは、従来のデュアルトーンラインショートニングアレイに対してシフトされた効果的な最良フォーカス位置を持つ。ターゲット構造と共に用いられるOPCフィーチャを参照して上述された技術によれば、デフォーカスされたアレイからのCD測定値(非対称OPCフィーチャを用いて作られた)は、標準ターゲット構造からのCD測定値(すなわち最良フォーカスにおける)と共に用いられ、フォーカスのドリフトを決定されえる。スキャッタバー805および延長フィーチャ808のようなOPCフィーチャは、アレイ800の主要テストパターンフィーチャ802、803、804、および805に近接して配置されるとき、プロセスウィンドウ変化に対する異なる感度、およびウェーハ上に転写されるとき非対称応答を作りだす。よってOPCフィーチャは、転写されたウェーハ上に現れる転写されたテスト構造がデフォーカスされた状態にあるように設計されえる。望ましいデフォーカス量は実験的に決定されえる。適切な結果は、0.10から0.20ミクロンの範囲のデフォーカスで得られた。テスト構造の光学応答はそれから、上述のようにフォーカスシフトの向きを決定するために用いられえる。結果として生じるOPC補正された非対称テスト構造は、フォーカスがずれて転写された様相をなす。バイナリOPC変化をテスト構造上で非対称に使用することは、デフォーカスされて転写されたテスト構造を低コストで生成し、テスト構造のために複数の露光が行われるとき無駄になる露光フィールド(群)を防ぐ。
本発明は、任意の適切な検査システムと共に用いられえる。図9は、本発明のある実施形態において実現されるウェーハを測定するウェーハまたはレチクル検査システム900を示す。自動的にウェーハを搬送するオートローダ908は、ウェーハ検査ステーション950の検査ポート902へ伸びるアーム910を持つロボット912を含む。アーム910は、回転し、参照番号910’で示される状態のときは外部ポート904へ伸びえる。同様に参照番号910’’で示される状態のときは、ロボットアームはウェーハストッカステーション916のストレージポート906に向かって伸びえ、このストッカステーション916は典型的にはウェーハを格納するいくつかのスロットまたはトラックを含む。ロボットアームは、さらに伸びてウェーハ914をウェーハストッカステーション916から取り出すように設計される。
本発明のある実施形態による典型的な検査プロセスは、例えば後続の検査アプリケーションにおいて用いられるまでウェーハをウェーハストッカステーション916内に格納することを前提で、ウェーハまたはレチクル914が外部ポート904上に置かれた後に始まりえる。ロボットアームはその位置910’においてウェーハを外部ポート904から搬送し、図9に示されるように伸びることによってそれをウェーハストッカステーション916のローディングポート内に格納する。例えばウェーハが必要とされるとき、ロボットアーム910’’はウェーハ914をローディングポートから取り出し、それをウェーハ検査ステーションの検査ポート902上に置く。
ウェーハ検査ステーション950は、コンピュータシステム952と結合され、ここでは光学測定値がテスト構造から得られた測定値およびレファレンスウェーハ上の露光されたフィールドからのパターンと比較して評価される。ウェーハまたはレチクル検査システムは、欠陥を検出するのにも用いられえる。コンピュータシステム952は、ウェーハ検査ステーション950と統合されてもよく、検査ステーション950と別個であってもよい。ウェーハ検査ステーション950は、設計データ954を形状のリストの形で受け取り、例えば、欠陥を検出する。さらにコンピュータシステム952は、画像データ(すなわちテスト画像)を検出ステーション950から受け取る。画像データは、それをベースライン画像と比較することによって分析され、ベースライン画像は設計データ954から、またはウェーハ914から生成されえる。ウェーハ検査が完了した後、ウェーハ914は外部ポート904上に置かれる。リソグラフィモニタリングおよび制御のために、ウェーハ上のテスト構造から、および検査ステーション950から得られた光学測定値が、図5を参照して用いられ説明されたようなテストウェーハのさまざまな露光フィールドで得られたテスト構造およびパターンの測定値と共に比較されえる。テストウェーハデータは、コンピュータシステム952によって格納され評価されえる。
本発明の方法を実現し制御(さまざまなスキャニング装置要素の設定を制御すること、ウェーハのベースライン画像を格納および取り出しを行うこと、ウェーハのテスト画像を格納すること、テスト画像を1つ以上のベースライン画像と比較すること、ラインショートニング測定値およびそのような比較中の統計的情報を格納することなど)するのに用いられる適切なコンピュータシステムは、さまざまなベンダー(例えばカリフォルニア州、マウンテンビューのシリコングラフィックスまたはカリフォルニア州、サニーベールのサンマイクロシステムズ)から入手されえ、またはKLAーTencorのようなウェーハ検査システムベンダーによってカスタムメードで作られえる。
ここで使用される「電子的表現」という語は、任意の機械読み取り可能な表現を含む。典型的にはこのような表現は、磁気、電子、または光学的に読み取り可能な媒体上に記憶される。このような表現の内容は、電気信号、磁気信号、電磁気信号、光学的信号などとして伝送されえる。
好ましくは、光学的または他の検査システムは、本発明の方法ステップの多くを実現するコンピュータシステムと統合される。このような統合システムは好ましくは、少なくとも(a)メモリに記憶されたベースライン画像(好ましくは圧縮された)、(b)ウェーハの光学画像を生成するよう構成された画像化システム、および(c)ベースラインおよび現在のテスト画像を比較することによって、ここで説明された数学的モデルにしたがって、光学測定値に対応するフォーカスおよび露光量応答を計算し、さまざまな統計情報を格納すると共に、欠陥を特定するよう構成された処理ユニットを含む。少なくとも、画像化システムは、ふつう(i)照射をウェーハの特定位置に導く照射源、および(ii)ウェーハによって散乱されたソースからのウェーハの画像を検出するよう方向付けられた1つ以上の検出器を含む。画像化システムはまたスキャニング手段を含みえる。
前述の本発明は、明瞭な理解の目的のためにある程度詳細に説明されてきたが、ある種の改変および変更が添付の特許請求の範囲内で実施されえることは明らかだろう。本発明の方法および装置を実現する多くの代替的な方法が存在ことに注意されたい。例えば、サブ解像度フィーチャは、ウェーハ上へのラインまたはパターンの転写に光学近接補正効果を作る任意のフィーチャでありえる。さらに本発明は、微小寸法が準拠していること、および微小寸法の測定値を求めるために薄くフィニッシュされた(thin finished)ウェーハを検査するのに特に有用でありえる。したがって本実施形態は、例示的であって限定的ではなく、本発明はここに与えられた詳細に限定されることはなく、添付の特許請求の範囲および等価物の中で改変されえる。
サブ解像度フィーチャを用いたレチクルの概略図である。 図1のレチクルを用いて作られたダイ上のパターンの概略図である。 図2Aに示されるパターンの拡大図である。 本発明のある実施形態によるサブ解像度フィーチャのサイズおよび位置を決定する方法のフローチャートである。 本発明のある実施形態によるサブ解像度フィーチャの構成の感度の例示的なグラフである。 本発明のある実施形態によるターゲット構造を較正する方法のフローチャートである。 本発明のある実施形態による検査プロセスを示すフローチャートである。 ターゲット構造およびターゲット構造についてのフォーカス応答を示す図である。 ターゲット構造およびターゲット構造についてのフォーカス応答を示す図である。 本発明のある実施形態による、OPC補正されたターゲット構造およびOPC補正されたターゲット構造についてのフォーカス応答を示す図である。 本発明のある実施形態による、OPC補正されたターゲット構造およびOPC補正されたターゲット構造についてのフォーカス応答を示す図である。 本発明のある実施形態による、フォーカス方向の決定を示すフォーカス応答グラフである。 本発明のある実施形態による、プロセス変化を検出するのに用いられる光学ウェーハ検査システムの図である。

Claims (22)

  1. 半導体リソグラフィプロセスパラメータを制御する方法であって、
    テスト構造の転写特性を変更するための波面エンジニアリングフィーチャを有するテスト構造をレチクル上に形成することであって、それぞれ互いに異なる前記波面エンジニアリングフィーチャを有する第1のテスト構造および第2のテスト構造を前記レチクル上に形成することと、
    ウェーハテスト構造を形成するための同一の前記リソグラフィプロセスを用いて前記第1のテスト構造および前記第2のテスト構造を第1のウェーハに転写することであって、前記第1のテスト構造をフォーカスが合った状態で転写するとともに前記第2のテスト構造をフォーカスがずれた状態で転写することを含む転写すること、および
    前記ウェーハテスト構造の少なくとも一部を測定し、参照寸法と比較することによって、少なくとも1つのリソグラフィプロセスパラメータが変化したかを決定すると共に、前記少なくとも1つのリソグラフィプロセスパラメータの変化の間接的測定を提供すること、
    前記第1のウェーハに転写された前記第1のテスト構造および前記第2のテスト構造を測定し、測定結果と前記第1のテスト構造のフォーカス応答曲線および前記第2のテスト構造のフォーカス応答曲線とに基づき、少なくとも1つのリソグラフィプロセスパラメータの変化の方向を決定することと、
    前記リソグラフィプロセスが変化したときに、前記少なくとも1つのリソグラフィプロセスパラメータを調整すること
    を含む方法。
  2. 請求項1に記載の方法であって、
    前記参照寸法は、前記第1のウェーハと第2のウェーハとの一方上に形成される前記ウェーハテスト構造の少なくとも一部の測定により得られる、方法。
  3. 請求項1に記載の方法であって、前記波面エンジニアリングフィーチャの設計は、前記ウェーハテスト構造の、前記少なくとも1つのリソグラフィプロセスパラメータの変化に対する最適化された感度を生むように選択される方法。
  4. 請求項1に記載の方法であって、前記波面エンジニアリングフィーチャの設計を実験的な手法で決定することをさらに含む方法。
  5. 請求項1に記載の方法であって、シミュレーションツール上で実行されるシミュレーションによって前記波面エンジニアリングフィーチャの設計を決定することをさらに含む方法。
  6. 請求項2に記載の方法であって、光学的オーバレイツールが用いられることによって、前記ウェーハテスト構造が測定される方法。
  7. 請求項1に記載の方法であって、前記波面エンジニアリングフィーチャの設計は、前記テスト構造が、前記ウェーハテスト構造を形成するのに用いられる露光ツールのフォーカスおよび露光量の変化に対して感度を持つように選択される方法。
  8. 請求項2に記載の方法であって、前記ウェーハテスト構造は、画像処理ソフトウェアを用いて分析される方法。
  9. 請求項1に記載の方法であって、前記波面エンジニアリングフィーチャは位相シフトマスクデザインである方法。
  10. 請求項1に記載の方法であって、前記波面エンジニアリングフィーチャは、光学近接補正である方法。
  11. 請求項1に記載の方法であって、前記テスト構造は、フォーカスおよび露光量変化に対して独立に応答する方法。
  12. 半導体プロセスパラメータを制御する方法であって、
    第1のテスト構造および第2のテスト構造を持つパターンをレチクル上に形成すること、および
    前記第1のテスト構造および前記第2のテスト構造のそれぞれに近接して互いに異なる波面エンジニアリングフィーチャを配置することによって、リソグラフィプロセスにおいて前記第1のテスト構造および前記第2のテスト構造の転写を制御すること
    を含み、
    前記第1のテスト構造および前記第2のテスト構造は、デュアルトーンラインショートニングアレイを備え、
    前記波面エンジニアリングフィーチャは、前記パターンが複数のウェーハ上に転写されるとき、前記パターンのうちの前記第1のテスト構造部分および前記第2のテスト構造部分がプロセス変化に対して予め選択された感度であるように構成され、
    前記方法は、さらに、
    前記パターンの前記第1のテスト構造部分がフォーカスが合い前記第2のテスト構造部分がフォーカスがずれた状態でウェーハ上に前記パターンを形成すること、
    前記ウェーハ上に形成された前記パターンの前記アレイ部分を測定することによって、ウェーハ上の前記パターンの他の形成に比較して前記プロセスが変化したかを決定すること、
    前記ウェーハ上に形成された前記パターンの前記第1のテスト構造部分および前記第2のテスト構造部分を測定し、測定結果と前記第1のテスト構造のフォーカス応答曲線および前記第2のテスト構造のフォーカス応答曲線とに基づき、少なくとも1つのプロセスパラメータの変化の方向を決定すること、および
    前記プロセスパラメータをそれらが変化したときに調整すること、を含む
    方法。
  13. 請求項12に記載の方法であって、前記テスト構造は、前記リソグラフィプロセスのフォーカスおよび露光量変化に対して独立に応答する方法。
  14. 請求項12に記載の方法であって、前記パターンの前記形成および前記パターンの他の形成は、同じウェーハ上に起こる方法。
  15. 請求項12に記載の方法であって、前記波面エンジニアリングフィーチャは光学近接補正フィーチャであり、前記レチクル上に現れる前記テスト構造をフォーカスがずれた状態で転写させることによって、ウェーハ上に前記パターンを転写するのに用いられる露光ツールのフォーカスの変化の向きを決定するように前記波面エンジニアリングフィーチャがさらに構成される方法。
  16. 請求項15に記載の方法であって、前記テスト構造はデュアルトーンラインショートニングアレイを備え、前記光学近接補正フィーチャは、前記アレイに対して非対称に適用される方法。
  17. 半導体プロセスパラメータを制御する方法であって、
    第1のテスト構造および第2のテスト構造を持つパターンをレチクル上に形成すること、および
    ウェーハ上に転写された前記パターンのラインエンドショートニングを位相シフト技術を用いて制御することによって前記パターンのウェーハ上への転写を制御すること
    を含み、
    前記位相シフト技術は、前記パターンが複数のウェーハ上に転写されるとき、前記パターンがプロセス変化に対して感度を持つように選択され、
    前記方法は、さらに、
    前記パターンの前記第1のテスト構造部分がフォーカスが合い前記第2のテスト構造部分がフォーカスがずれた状態でウェーハ上に前記パターンを形成すること、
    前記ウェーハ上の前記形成されたパターンを測定することによって、ウェーハ上の前記パターンの他の形成に比較して前記プロセスが変化したかを決定すること、
    前記ウェーハ上に形成された前記パターンの前記第1のテスト構造部分および前記第2のテスト構造部分を測定し、測定結果と前記第1のテスト構造のフォーカス応答曲線および前記第2のテスト構造のフォーカス応答曲線とに基づき、少なくとも1つのプロセスパラメータの変化の方向を決定すること、および
    前記プロセスパラメータをそれらが変化したときに調整すること、を含む
    方法。
  18. 請求項17に記載の方法であって、前記位相シフト技術は、プロセス変化に対する予め選択された感度を生むよう選択される方法。
  19. 請求項17に記載の方法であって、前記位相シフト技術は、プロセス変化に対する高められた感度を生むよう選択される方法。
  20. 請求項17に記載の方法であって、前記位相シフト技術は、プロセス変化に対する低められた感度を生むよう選択される方法。
  21. 請求項1に記載の方法であって、
    前記測定した部分が前記参照寸法を所定の閾値分超える場合に、前記リソグラフィプロセスパラメータを調整することをさらに含む、方法。
  22. 請求項1に記載の方法であって、
    前記波面エンジニアリングフィーチャは、前記少なくとも1つのリソグラフィプロセスパラメータが所定の閾値分変化するとき、前記ウェーハテスト構造に所定の変化を引き起こすように構成されている、方法。
JP2003544809A 2001-11-14 2002-11-14 半導体プロセスパラメータを制御する方法 Expired - Fee Related JP5013657B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US33571201P 2001-11-14 2001-11-14
US60/335,712 2001-11-14
US10/058,572 2002-01-28
US10/058,572 US6673638B1 (en) 2001-11-14 2002-01-28 Method and apparatus for the production of process sensitive lithographic features
PCT/US2002/036819 WO2003043075A1 (en) 2001-11-14 2002-11-14 Method and apparatus for the production of process sensitive lithographic features

Publications (3)

Publication Number Publication Date
JP2005510058A JP2005510058A (ja) 2005-04-14
JP2005510058A5 JP2005510058A5 (ja) 2006-02-16
JP5013657B2 true JP5013657B2 (ja) 2012-08-29

Family

ID=26737761

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003544809A Expired - Fee Related JP5013657B2 (ja) 2001-11-14 2002-11-14 半導体プロセスパラメータを制御する方法

Country Status (3)

Country Link
US (1) US6673638B1 (ja)
JP (1) JP5013657B2 (ja)
WO (1) WO2003043075A1 (ja)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003142376A (ja) * 2001-11-02 2003-05-16 Canon Inc リソグラフィシステム、露光装置及びそれらの制御方法並びにデバイスの製造方法
KR100434110B1 (ko) * 2002-06-04 2004-06-04 삼성전자주식회사 반도체 장치의 제조방법
US6711732B1 (en) * 2002-07-26 2004-03-23 Taiwan Semiconductor Manufacturing Company Full sized scattering bar alt-PSM technique for IC manufacturing in sub-resolution era
JP4302965B2 (ja) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
US6746882B1 (en) * 2002-11-21 2004-06-08 Advanced Micro Devices, Inc. Method of correcting non-linearity of metrology tools, and system for performing same
US7042550B2 (en) * 2002-11-28 2006-05-09 Asml Netherlands B.V. Device manufacturing method and computer program
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
WO2004099874A1 (ja) * 2003-04-16 2004-11-18 Nikon Corporation パターン決定方法及びシステム、マスクの製造方法、結像性能調整方法、露光方法及び装置、並びにプログラム及び情報記録媒体
US6968253B2 (en) * 2003-05-07 2005-11-22 Kla-Tencor Technologies Corp. Computer-implemented method and carrier medium configured to generate a set of process parameters for a lithography process
CN1902501B (zh) * 2003-07-17 2010-06-09 凸版光掩膜公司 校准计量工具的方法和装置
US7218983B2 (en) 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
JP2005202102A (ja) * 2004-01-15 2005-07-28 Fujitsu Ltd 露光用マスク及びそのパターン補正方法並びに半導体装置の製造方法
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US7146599B2 (en) * 2004-04-15 2006-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for using asymmetric OPC structures on line ends of semiconductor pattern layers
TWI333233B (en) * 2004-06-10 2010-11-11 Applied Materials Inc Small lot size lithography bays
US7369697B2 (en) * 2004-06-17 2008-05-06 Intel Corporation Process variable of interest monitoring and control
US7052921B1 (en) * 2004-09-03 2006-05-30 Advanced Micro Devices, Inc. System and method using in situ scatterometry to detect photoresist pattern integrity during the photolithography process
US7251807B2 (en) * 2005-02-24 2007-07-31 Synopsys, Inc. Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
US7814456B2 (en) * 2004-11-22 2010-10-12 Tela Innovations, Inc. Method and system for topography-aware reticle enhancement
JP4389222B2 (ja) * 2005-05-02 2009-12-24 エルピーダメモリ株式会社 マスクデータ作成方法
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
US7536670B2 (en) * 2005-05-31 2009-05-19 Cadence Design Systems, Inc. Method for verifying and choosing lithography model
US7392502B2 (en) * 2005-06-30 2008-06-24 Invarium, Inc. Method for real time monitoring and verifying optical proximity correction model and method
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7502702B1 (en) * 2005-09-07 2009-03-10 Advanced Micro Devices, Inc. Method and apparatus for dynamic adjustment of sensor and/or metrology sensitivities
US7176675B1 (en) * 2005-11-29 2007-02-13 International Business Machines Corporation Proximity sensitive defect monitor
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8225261B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8448102B2 (en) * 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) * 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8225239B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8247846B2 (en) * 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7925486B2 (en) 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7743357B2 (en) * 2006-05-31 2010-06-22 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of CAR/PEB on the resist profile
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) * 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7687206B2 (en) * 2007-03-08 2010-03-30 United Microelectronics Corp. Mask pattern and method for forming the same
US20080241708A1 (en) * 2007-04-02 2008-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution assist feature of a photomask
US7873504B1 (en) 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
KR101739709B1 (ko) 2008-07-16 2017-05-24 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) * 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8214771B2 (en) 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8453076B2 (en) * 2010-03-16 2013-05-28 International Business Machines Corporation Wavefront engineering of mask data for semiconductor device design
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
WO2012097833A1 (en) 2011-01-20 2012-07-26 Carl Zeiss Smt Gmbh Method of operating a projection exposure tool
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US10274839B2 (en) * 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US9448343B2 (en) 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2016083076A1 (en) 2014-11-26 2016-06-02 Asml Netherlands B.V. Metrology method, computer product and system
KR102323388B1 (ko) 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
JP2017053674A (ja) 2015-09-08 2017-03-16 株式会社ニューフレアテクノロジー パターン幅寸法のずれ量測定方法及びパターン検査装置
US20170256465A1 (en) 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US9711420B1 (en) * 2016-03-14 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Inline focus monitoring
KR102450492B1 (ko) * 2016-10-21 2022-09-30 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스용 보정 결정 방법
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
US10133838B2 (en) * 2017-01-30 2018-11-20 Dongfang Jingyuan Electron Limited Guided defect detection of integrated circuits
EP3396456A1 (en) * 2017-04-25 2018-10-31 ASML Netherlands B.V. Method of monitoring and device manufacturing method
CN108957943B (zh) 2017-05-22 2021-02-19 联华电子股份有限公司 形成布局图案的方法
WO2020141040A1 (en) * 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4855253A (en) * 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
US5103557A (en) * 1988-05-16 1992-04-14 Leedy Glenn J Making and testing an integrated circuit using high density probe points
US5682323A (en) * 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
JP2917879B2 (ja) * 1995-10-31 1999-07-12 日本電気株式会社 フォトマスク及びその製造方法
JP4180678B2 (ja) * 1997-05-29 2008-11-12 株式会社東芝 露光方法
JP3067695B2 (ja) * 1997-06-06 2000-07-17 日本電気株式会社 投影露光装置の検査方法
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5970311A (en) * 1997-10-31 1999-10-19 Advanced Micro Devices Method and structure for optimizing the performance of a semiconductor device having dense transistors
US6174741B1 (en) * 1997-12-19 2001-01-16 Siemens Aktiengesellschaft Method for quantifying proximity effect by measuring device performance
JPH11233434A (ja) * 1998-02-17 1999-08-27 Nikon Corp 露光条件決定方法、露光方法、露光装置、及びデバイスの製造方法
US6174630B1 (en) * 1998-03-03 2001-01-16 Lsi Logic Corporation Method of proximity correction with relative segmentation
JP2000124103A (ja) * 1998-10-16 2000-04-28 Sony Corp 露光方法および露光装置
US6374396B1 (en) * 1998-12-04 2002-04-16 Micron Technology, Inc. Correction of field effects in photolithography
JP2000181045A (ja) * 1998-12-10 2000-06-30 Matsushita Electronics Industry Corp パターン補正方法
US6294397B1 (en) * 1999-03-04 2001-09-25 Advanced Micro Devices, Inc. Drop-in test structure and abbreviated integrated circuit process flow for characterizing production integrated circuit process flow, topography, and equipment
US6345210B1 (en) * 1999-03-08 2002-02-05 Advanced Micro Devices, Inc. Method of using critical dimension mapping to qualify a reticle used in integrated circuit fabrication
US6120953A (en) * 1999-04-23 2000-09-19 United Microelectronics Corp. Method of optical proximity correction
US6143579A (en) * 1999-04-26 2000-11-07 Taiwan Semiconductor Manufacturing Ltd. Efficient method for monitoring gate oxide damage related to plasma etch chamber processing history
US6444373B1 (en) * 2000-06-16 2002-09-03 Advanced Micro Devices, Inc. Modification of mask layout data to improve mask fidelity
US6448099B1 (en) * 2000-11-28 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for detecting voltage contrast in a semiconductor wafer
US6365422B1 (en) * 2001-01-22 2002-04-02 Advanced Micro Devices, Inc. Automated variation of stepper exposure dose based upon across wafer variations in device characteristics, and system for accomplishing same
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration

Also Published As

Publication number Publication date
WO2003043075A1 (en) 2003-05-22
JP2005510058A (ja) 2005-04-14
US6673638B1 (en) 2004-01-06

Similar Documents

Publication Publication Date Title
JP5013657B2 (ja) 半導体プロセスパラメータを制御する方法
JP4954211B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP5371179B2 (ja) フォトマスクのテストパターンイメージから印刷されたテストフィーチャーを用いるフォトリソグラフィ工程における焦点変化を測定するシステム及び方法
JP3972035B2 (ja) 検査方法とデバイス製造方法
US7327436B2 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
US7536670B2 (en) Method for verifying and choosing lithography model
CN1862385B (zh) 使用测试特征检测光刻工艺中的焦点变化的系统和方法
TW201939174A (zh) 用於處理度量衡資料、用於處理基板之圖案之變數及用於預測輪廓之方法及電腦程式產品
JP2002311564A (ja) 散乱測定を用いてマスクルールを求めるための方法および装置
JP4057847B2 (ja) リソグラフィ投影装置の較正方法、パターニング装置、及びデバイス製造方法
JP2006301631A (ja) 向上したフォトリソグラフィ工程ウィンドーを提供するフォトマスク構造及びその製造方法
JP2017538155A (ja) パターニングデバイストポグラフィ誘起位相を使用するための方法及び装置
TW201633003A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
KR101175341B1 (ko) 리소그래피 시스템에서 조명기의 조도 프로파일을 결정하는 장치 및 그 제조방법
TWI604277B (zh) 使用圖案化裝置形貌誘導相位之方法及設備
TWI623822B (zh) 用以檢測基板的方法及電腦程式
TW201632984A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
TWI636317B (zh) 使用圖案化裝置形貌誘導相位之方法、非暫時性電腦程式產品及製造半導體裝置之方法
TWI769625B (zh) 用於判定量測配方之方法及相關裝置
TWI706233B (zh) 用以判定關於藉由一微影程序形成之一基板上之一結構的一所關注特性之方法、圖案化器件、微影系統、及非暫時性電腦程式產品
JP2009239029A (ja) リソグラフィ装置の評価方法および制御方法
JP2004200691A (ja) レンズ系の特性決定のための方法およびマスク
CN117882011A (zh) 监测光刻过程的方法以及相关设备

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090407

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090706

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101203

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120406

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120508

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120605

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5013657

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees