JP4936590B2 - 侵食およびディッシングが低減された高スループット銅cmp - Google Patents

侵食およびディッシングが低減された高スループット銅cmp Download PDF

Info

Publication number
JP4936590B2
JP4936590B2 JP2000389237A JP2000389237A JP4936590B2 JP 4936590 B2 JP4936590 B2 JP 4936590B2 JP 2000389237 A JP2000389237 A JP 2000389237A JP 2000389237 A JP2000389237 A JP 2000389237A JP 4936590 B2 JP4936590 B2 JP 4936590B2
Authority
JP
Japan
Prior art keywords
polishing
substrate surface
copper
polishing pad
removal rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000389237A
Other languages
English (en)
Other versions
JP2001308040A (ja
Inventor
リー シジアン
シー. レデカー フレッド
エム. ホワイト ジョン
エマニ ラミン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001308040A publication Critical patent/JP2001308040A/ja
Application granted granted Critical
Publication of JP4936590B2 publication Critical patent/JP4936590B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B57/00Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents
    • B24B57/02Devices for feeding, applying, grading or recovering grinding, polishing or lapping agents for feeding of fluid, sprayed, pulverised, or liquefied grinding, polishing or lapping agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

【0001】
【発明の背景】
関連出願
本出願は、1999年12月21日に提出され、ここに参照して具体化されている、同時係属する米国特許出願番号09/469,709[AMAT/3786]の一部継続出願である。
【0002】
発明の分野
本発明は、平坦性が改善された半導体デバイスにおける、銅(Cu)および/または銅合金のメタライゼーションに関係する。
【0003】
関連技術の背景
超大規模集積回路半導体の配線に関する、高密度化および高性能化への要求の高まりにより、相互接続技術における敏感な変化が求められている。このような要求の高まりに対し、RC(抵抗と静電容量)の低い相互接続パターンを提供するという観点から要求を満たすことは困難であることがわかっており、特に、サブミクロンのバイア、コンタクト、トレンチが、小型化によって高アスペクト比とされるような適用においては困難である。
【0004】
従来の半導体デバイスは、通常ドープされた単結晶シリコンである半導体基板と、複数の連続形成された誘電層および導電パターンを備える。集積回路は、相互配線スペースにより隔てられた導電ラインからなる複数の導電パターンと、複数の相互接続ラインを含有して形成される。通常、異なる層の導電パターンは、すなわちバイアホールを充填する導電プラグにより電気的に接続され、一方、コンタクトホールを充填する導電プラグが、ソース/ドレイン領域などの半導体基板上の能動領域との電気的接触を確立する。導電性ラインは、半導体基板に対して通常ほぼ水平に広がるトレンチ中に形成される。5つ以上のメタライゼーションのレベルを備える半導体「チップ」は、デバイス幾何がサブミクロンレベルに縮小されるにつれ、さらに一般的になっている。
【0005】
バイアホールを充填する導電性プラグは通常、少なくとも1つの導電パターンを備える導電層上に誘電層を堆積し、従来の露光とエッチング技術によって誘電層を貫く開口を形成し、開口を、タングステン(W)などの導電性物質により充填することによって形成される。中間誘電層表面上の余分な、あるいは堆積しすぎた導電物質は、通常、化学機械研磨(CMP)によって除去される。このような方法の1つがダマシンとして知られており、基本的に中間誘電層中に開口を形成し、開口を金属で充填することを含有する。デュアル・ダマシン技術には、下コンタクトあるいは、上トレンチ部と連絡するバイアホール部を備える開口を形成することを包含する。開口全体が、通常は金属である導電性物質により充填され、導電ラインと電気的に接触する導電性プラグが同時に形成される。
【0006】
銅(Cu)および銅合金は、相互接続メタライゼーションにおけるアルミニウム(Al)の交換候補として非常に注目されている。銅および銅合金は比較的安価であり、処理が容易で、アルミニウムよりも抵抗が低い。さらに銅および銅合金はタングステン(W)に対する電気的特性が向上していることから、銅および銅合金は、導電配線としても導電プラグとしても使用に望ましい金属となっている。
【0007】
銅および銅合金のプラグおよび配線を形成する接近法は、ダマシン構造の使用を備える。しかし、酸化シリコンなど誘電層物質中を銅が拡散するため、銅相互接続構造に対する拡散バリア層が、銅または銅合金の相互接続構造と、周囲の誘電物質との間に備えられる。典型的な拡散バリア金属として、銅および銅合金用には、タンタル(Ta)、タンタル窒化物(TaN)、チタン窒化物(TiN)、チタン−タングステン(TiW)、タングステン(W)、タングステン窒化物(WN)、チタン−チタン窒化物(Ti−TiN)、チタン・シリコン窒化物(TiSiN)、タングステン・シリコン窒化物(WSiN)、タンタル・シリコン窒化物(TaSiN)およびシリコン窒化物が含まれる。これらの、銅を包むバリア金属の使用は、銅と中間誘電層間の境界に限られるものではなく、他の金属との境界も含まれる。
【0008】
従来の研磨技術において、基板キャリア・アセンブリは、研磨装置中の研磨パッドに接触しながら回転される。研磨パッドは回転する回転台またはプラテン上に取り付けられるか、あるいは静止した研磨台の上で、外部駆動力により駆動されて移動する。基板は通常、制御可能な圧力を提供するキャリア上に取り付けられ、その圧力により、基板が研磨パッドに向けて促される。このようにCMP装置は、各薄い半導体基板と研磨パッドの間に研磨または摩擦の動作をもたらし、一方で基板と研磨パッド間に力を加えている間、化学的および機械的両方に活性をもたらすために、反応性溶液中に砥粒粒子入りもしくは砥粒粒子フリーの研磨化学薬品を分散させる。
【0009】
研磨スラリー処理に採用される従来の研磨パッドは、通常ポリウレタンなどの溝付きの多孔性ポリマー表面と研磨スラリーを備えており、研磨スラリーは研磨を受ける特定の物質に応じて異なる。基本的に、研磨スラリーはポリマー表面の微細孔に含浸され、一方で溝が研磨スラリーを、研磨を受けている基板へ運ぶ。CMPスラリー処理に使用する研磨パッドが、Krywanczykらにより米国特許5,842,910中に開示されている。
【0010】
上述の研磨スラリータイプの研磨パッドとは極めて異なるタイプの砥粒用品は、たとえば固定砥粒研磨パッドなどの固定砥粒用品である。そのような固定砥粒用品は、通常、複数の幾何学的な砥粒構成要素が付着された支持シートを備える。砥粒要素は通常、たとえばポリマー製バインダなどのバインダ中に複数の砥粒粒子を備える。固定砥粒用品を採用して研磨する間に、基板、あるいはCMPを受けている基板が固定砥粒用品を磨耗するため、持続して砥粒粒子が露出される。したがって固定砥粒用品を採用する研磨中、化学的活性を与えるために化学薬品が分散され、一方、固定砥粒要素および、研磨を受けている基板との磨耗により露出した砥粒粒子によって、機械的活性が備えられる。固定砥粒用品は、Rutherfordらにより米国特許番号5,692,950に、Calhounにより米国特許番号5,820,450に、Haasらにより米国特許番号5,453,312に、およびHibbardらにより米国特許番号5,454,844に開示されている。
【0011】
ダマシン埋め込みの研磨によるように、銅または銅合金の表面を平坦化して高度の表面平坦性を実現することは極めて困難であり、特に、銅または銅合金特徴の高密度配列と基板表面フィールドにわたって広がる表面を横切る場合に困難である。銅または銅合金の特徴の高密度配列は、一般に酸化シリコン層などの誘電層中に、始めにトレンチを形成するダマシン技術により形成される。次に、たとえばタンタル(Ta)、タンタル窒化物(Ta)など、タンタル含有層などのバリア層が、トレンチの露出表面上と誘電層の上面に正角に堆積される。次に銅または銅合金が、電気めっき、無電解めっき、物理気相成長法(PVD)または化学気相成長法(CVD)などにより、バリア層上に通常約8,000Å〜約18,000Åの膜厚で堆積される。
【0012】
その後、研磨が行なわれ、銅または銅合金の過剰分を除去してバリア層の上で止まり、続いて化学薬品と砥粒粒子の混合物を採用してバリア層が除去され、銅または銅合金とバリア層が除去されて誘電層が出される。銅または銅合金の過剰分は、基板表面上に形成された特徴を充填するのに必要な量よりも多く、基板上に堆積された材料である。
【0013】
銅のメタライゼーション処理後、基板表面の平坦化においては、一般に侵食やディッシングを受ける。ディッシングとは、基板表面上に形成された特徴の銅および銅合金層中に、くぼみや陥没など、地形的な欠陥が形成されることである。さらにディッシングの結果、表面が平坦でなくなり、続く露光ステップ中、高解像度の線を印刷する能力が損なわれ、その後の基板の表面幾何およびデバイス形成に悪影響を及ぼす。ディッシングはまた、銅のような高伝導性物質を使用する利点に反し、デバイスのコンダクタンスを下げ、抵抗を上げることによりデバイス性能に悪影響を及ぼす。ディッシングはさらに、続く研磨ステップにおけるバリア層の除去を不均一に導き得る。侵食とは、基板表面上に形成された特徴を囲む誘電物質を、余計に除去してしまうことである。
【0014】
基板表面の侵食やディッシングを最小限にするか低減させ、それにより高度の表面平坦性を実現する、高い生産スループットの、銅または銅合金を研磨することが必要とされている。
【0015】
【発明の概要】
発明の態様は一般に、表面欠陥や表面腐食の低減された、銅および銅合金などの金属の平坦化を含む、基板表面の平坦化の方法および構成を提供する。
【0016】
1態様において、本発明は基板表面の平坦化方法を提供し、該方法は第1プラテン上の基板表面を研磨して、ここに堆積された銅層または銅合金層を第1除去速度で低減することと、第2プラテン上の基板を研磨して、第1除去速度よりも低い第2除去速度で銅層または銅合金層を除去することを備える。
【0017】
別の態様において、コンピュータ可読媒体が備えられ命令を保持し、命令は、1つ以上のプロセッサにより命令が実行されるときに、1つ以上のプロセッサに、研磨システムを、第1プラテン上で第1除去速度で基板表面を研磨するよう制御させ、次に第2プラテン上で第1除去速度よりも低い第2除去速度で基板表面を研磨するよう制御させるように、取り決められている。
【0018】
好適実施形態の詳細な説明
ここに記述される本発明の実施形態は、サブミクロン領域の特徴寸法を持つ、信頼性ある相互接続パターンへの要求の高まりに応じて、銅または銅合金含有の特徴を持つ基板表面を、高い生産スループットで、侵食やディッシングを低減または最小限にして効果的に平坦化することが可能である。本開示全体に使用されるように、銅(Cu)は、高純度元素の銅と共に、たとえば少なくとも80重量.%の銅を含有する銅ベース合金のような、銅ベース合金も網羅するものとする。
【0019】
ここに記述される発明の実施形態は、最小限または低減されたディッシングおよび侵食で、連続研磨ステップを含むマルチステップ処理に有利に使用可能である。研磨ステップはバリア層上で停止でき、また固定砥粒研磨技術において使用されてよい。その後、バリア層を除去する研磨ステップで、研磨スラリーを使用できる。
【0020】
本発明のマルチステップ方法論は、銅または銅合金の特徴、たとえばオープン・フィールドに隣接するラインなどの密な配列を平坦化する際の、侵食やディッシングに強い影響を与える因子の研究から由来している。「密な配列」という表現には、約100ミクロン未満の様々な距離により隔てられた金属特徴を網羅するものとし、一方「オープン・フィールド」という表現には、約100ミクロンを超えて広がる金属特徴のないフィールドを網羅するものとする。
【0021】
本発明の実施形態は、銅または銅合金の過剰分の大部分を除去するために、第1除去速度で基板表面を研磨し、第1除去速度よりも低い第2除去速度で基板表面を研磨することを備える、マルチステップ処理を含む。第2除去速度を持つ研磨技術は、後のバリア層に対して高い選択性を持ち、バリア層上で停止できる。
【0022】
研磨ステップは第1および第2の、回転プラテン、静止プラテン、またはリニア・プラテンのそれぞれの上で遂行され、 両方の研磨処理で固定砥粒研磨パッドか、砥粒入りまたは砥粒フリーの化学薬品を使用した従来の非固定砥粒研磨パッドを採用している。ここに記述された処理にしたがって、基板表面を研磨できる適切な化学機械研磨装置の例が図5に示され、また以下により詳細に記述される。
【0023】
タンタルやタンタル窒化物などのバリア層物質は、第3の回転研磨パッド、静止研磨パッド、または研磨スラリーを用いたリニア研磨パッド上で除去され得る。適したバリア除去技術が、1999年9月22日提出の同時係属する米国特許出願連番09/401,643と、2000年5月11日に提出の同時係属する米国特許出願連番09/569,968に開示されており、開示全体がここに、発明に矛盾しない範囲で参照して具体化されている。誘電層は、基板表面のスクラッチや欠陥を低減、もしくはなくすために、バフ研磨されてよい。
【0024】
ここに記述されている処理の実施形態を、マルチステップ処理によって銅または銅合金の金属膜を平坦化するために使用して、基板表面の侵食およびディッシングを最小限にするまたは低減することができる。基板表面の侵食やディッシングを最小限にするまたは低減することにより、従来の露光方法を使用して深くはサブミクロン領域、すなわち約0.1ミクロンなどの約0.2ミクロン未満の寸法を持つ金属特徴を形成することが可能となる。
【0025】
典型的な銅のメタライゼーションまたは相互接続システムには、基板を覆う誘電層の堆積し、開口すなわちダマシン開口を誘電層中へ形成し、タンタル含有物質すなわちTaNまたはTaなど拡散バリアを堆積し、および銅または銅合金による開口を充填することが含まれる。誘電層中の開口は、最初にシード層を堆積し、次に銅層または銅合金層を、通常約8,000Å〜約18,000Åの膜厚で電気めっきまたは無電解めっきすることにより、有利に充填することができる。ダマシン開口はまた、銅または銅合金で約50℃〜約150℃の温度におけるPVD、または約200℃未満の温度におけるCVDにより充填することも可能である。
【0026】
従来の基板および誘電物質は、本発明により網羅される。たとえば、基板はドープされた単結晶シリコンまたはガリウムヒ素でありうる。誘電層は、従来半導体デバイスの製造に採用されている様々な誘電物質のいずれかを備えることができる。たとえば、二酸化珪素、リン珪酸塩ガラス(PSG)、ホウ素燐ケイ酸ガラス(BPSG)、およびテトラエチル・オルトシリケート(TEOS)から誘導された二酸化珪素またはプラズマCVD(PECVD)によるシランなどの誘電物質が採用可能である。本発明による誘電層はまた低誘電率の物質を備えてよく、ポリイミドなどのポリマーや、たとえばカリフォルニア州、サンタクララに位置するApplied Materials, Inc.社から市販のBlack DiamondRなどの炭素含有二酸化珪素が含まれる。開口は従来の露光技術およびエッチング技術により誘電層中に形成される。
【0027】
銅または銅合金がメタライズされた基板表面は、まず第1除去速度で研磨されて大部分の銅または大部分の銅合金の除去がもたらされる。基板は回転プラテン、静止プラテンまたはリニアプラテン上に取り付けられた研磨パッドの上で研磨される。第1除去速度は、スループットを速くするために、比較的高速の、たとえば1分間に約5,000Åよりも速い除去速度である。銅または銅合金がメタライズされた表面は、約500Å〜約3,000Åの膜厚まで薄く研磨される。基本的にCMPは化学作用または機械研磨の組み合わせを包含する。
【0028】
研磨処理は金属表面、すなわち銅または銅合金の金属表面を酸化する化学作用を含み、金属表面はその後、研磨パッド、たとえば研磨パッド中に配列された固定砥粒要素あるいは砥粒ポストにより機械的に研磨され得る。ここに開示される手引きおよび開示される方針を仮定すれば、特定の状況で適切な研磨条件および化学薬品を容易に決定することができる。
【0029】
適切な化学薬品は、Carpioにより米国特許番号5,840,629に開示されるようにクロム酸ナトリウム四水化物を、および/またはWattsらにより米国特許番号5,897,375に開示されているように、クエン酸アンモニウムなどのカルボン酸塩を含むことができる。たとえば1,2,4−トリアゾールやベンゾトリアゾールといった、トリアゾールまたはトリアゾール誘導体などの抑制剤を有利に採用可能である。化学薬品には、純水やアルコールなどの溶媒も含まれる。
【0030】
たとえば、二酸化珪素誘電層とTaNバリア層を含む銅のメタライゼーションでは、第1の研磨処理の化学薬品には、約0.5重量%〜約10重量%の酸化剤、たとえば約6重量%の過酸化水素など、約0.05重量%〜約0.20重量%の抑制剤、たとえば約0.15重量%の5−メチル・ベンゾトリアゾール、約0.5重量%〜約5.0重量%の間の、たとえば約3.0重量%のイミノ2酢酸などのキレート剤、および約3.0重量%〜約15.0重量%の間、たとえば9.0重量%のリン酸水素アンモニウムなど別のキレート剤、バランスの純水を含み得る。圧力は通常約3psiであるが、組成や所望の除去速度によって変化しうる。第1の研磨ステップにおいて、銅層または銅合金層の大部分を研磨する際、研磨組成がCuのパシベーション・ドメインのpHと酸化還元電位を持つよう、研磨組成を定式化するのが適当であることが分かった。いくつかの組成の態様においては、約5〜約8のpHなど、約3〜約10の間のpHが使用される。その他の適した化学薬品が、2000年4月5日に提出の、同時係属する米国特許出願連番09/543,777、および2000年4月6日に提出の、同時係属する米国特許出願連番09/544,281に記述されている。
【0031】
抑制剤の濃度は、発明の研磨ステップにわたり、静的除去速度を制御するために効果的に調節され得る。たとえば、抑制剤の量を増やして、銅または銅合金の化学薬品中のキレート剤化合物による化学的な錯体化反応を低減することにより、静的除去速度を減らすことができる。
【0032】
バリア層を覆う大部分の銅または銅合金の残余、または残りの銅または銅合金は、通常約500Å〜約3,000Åの膜厚を含んでいる。残りの銅または銅合金は、回転研磨パッドや、固定砥粒研磨パッドなどの静止またはリニア研磨パッド上で基板を研磨し、タンタルやタンタル窒化物などの、タンタル含有バリア層に対して高い選択性を持つ化学薬品を採用し、それによってタンタル含有バリア層の上で研磨を停止させることによる、第2の研磨処理によって除去される。
【0033】
第2の研磨処理は、第1の研磨ステップよりも低い第2除去速度で実施される。第2除去速度は毎分約250Å〜約3,000Åの間である。第2除去速度は、たとえば約3psi以下の圧力を採用するなどして減圧する等、適切な条件調整によって第1除去速度から低減することも可能である。第2除去速度は化学薬品を採用することによって第1除去速度よりも低くすることができ、化学薬品には約0.3重量%〜約6.0重量%の、たとえば約3重量%の過酸化水素などの酸化剤、約0.03重量%〜約0.5重量%の、たとえば約0.06重量%のたとえば5−メチル・ベンゾトリアゾールなどの抑制剤、約0.25重量%〜約5.0重量%の、たとえば約1.0重量%のたとえばイミノ2酢酸などのキレート剤、約1.0重量%〜約6.0重量%の、たとえば約3.0重量%のリン酸水素アンモニウムなど別のキレート剤、バランスの純水を含む。圧力は通常約2psiであるが、組成と所望の除去速度によって変化し得る。第2の研磨ステップにおける銅層または銅合金層の研磨では、研磨組成がCuのパシベーション・ドメインのpHと酸化還元電位を持つよう、研磨組成を定式化するのが適当であることが分かった。いくつかの組成の態様においては、約5〜約8のpHなど、約3〜約10の間のpHが使用される。その他の適した化学薬品が、2000年4月5日に提出の、同時係属する米国特許出願連番09/543,777、および2000年4月6日に提出の、同時係属する米国特許出願連番09/544,281に記述されている。
【0034】
第2除去速度のために使用される化学薬品は、TaまたはTaNバリア金属層に対して選択性が高く、銅または銅合金を完全に除去し、またバリア層の除去を最小限に抑えるよう、TaまたはTaNバリア層の上で停止する。銅または銅合金、およびタンタルの選択性は、タンタルに対する銅の除去速度比が約10:1(Cu:Ta)よりも大きく、通常、約100:1(Cu:Ta)よりも大きくできる。
【0035】
TaまたはTaNバリア層へ達する研磨終点は、米国特許番号5,893,796に開示の、ここに参照により具体化されているレーザー干渉計技術のような、従来の光学システムを採用して正確に決定され得る。カリフォルニア州、サンタクララのApplied Materials, Inc.社により市販のIn Situ Ray Monitor(ISRMR)システムを終点決定に採用すれば、過剰研磨をきわめて最小限に抑えることが可能である。
【0036】
TaまたはTaNバリア層などのバリア層はその後、酸化シリコン誘電層、バリア層、および銅または銅合金の間の選択性を逆転した条件下で除去される。タンタルなどバリア層の除去速度比、あるいは選択性は、誘電層(DL)に対するタンタルの除去速度比を約10:1(Ta:DL)よりも大きくできる。適切なバリア層除去処理の例が、1999年9月22日提出の係属する米国特許出願連番09/401,643と、2000年5月11日提出の同時係属する米国特許出願連番09/569,968に開示されている。
【0037】
本発明の実施形態は、ディッシングおよび侵食を最小限に抑えるためのさらなる改良点を備える。第1および/または第2の研磨ステップ中、1つ以上の処理の特徴あるいはパラメータを制御することによってディッシングを制御できることが分かった。
【0038】
たとえば本発明の実施形態は、プラテンの回転速度を60rpm以下に、あるいはリニア・パッド速度を毎秒30インチ以下に下げるなどして、研磨パッド表面を約50℃以下、たとえば約44℃などの温度に制御することを備えており、それによって静的除去を低減させ、したがってディッシングが低減する。研磨中に生成された研磨副生成物を除去、たとえば溶解できない程度まで、静的除去速度を低減してはいけないことは理解されるべきである。
【0039】
研磨中に生成された研磨副生成物は、消耗品のコストを低減するために再利用または再循環可能な化学薬品の高速流によって洗い流すことができる。化学薬品は研磨パッドおよび/または基板表面に、毎分約300ミリリットル以上の流速で供給される。
【0040】
銅または銅合金のくぼみ、したがってディッシングを、銅または銅合金の表面に接触する砥粒研磨パッドの平らなポスト上端の、化学薬品の不足を低減することによって低減できることも分かった。従来の固定砥粒研磨パッドは複数の固定砥粒合成要素を備え、備えている砥粒粒子はポリマーバインダ中に分散されており、しばしばポストと呼ばれる。このようなポストは通常、高さ約30ミクロン〜約40ミクロンを有し、円柱形の場合には直径約200ミクロンで、約10%〜約25%の接触面積比を与える。ポストは、多角形、円、楕円など、様々な幾何学構成の形状に形成することができる。本開示にわたって使用されるように、直径という用語は、研磨を受ける表面に向かい合う、ポスト上部の作業面の最大断面寸法を意味するものである。
【0041】
化学薬品の不足は、ポストの直径を低減する一方で、ポストの数を増やすことによって、約10%〜約25%のほぼ同じ接触面積比を維持することにより低減できることが分かった。したがって本発明の実施形態は、約75ミクロン〜約150ミクロン、たとえば約100ミクロン〜約150ミクロンの直径を持つ砥粒ポストを備える固定砥粒研磨パッドを使用して、それにより化学薬品の不足を低減し、したがってディッシングを低減する、第1および第2の研磨ステップの実施を備える。
【0042】
砥粒ポストが付着している支持シートの堅さ、すなわち剛性を増すことにより、第1および第2の研磨ステップ中のディッシングを低減できることも分かった。これは、適切なヤング率(弾性係数)を持つ支持シートを選択することによって実現される。あるいは従来の支持シート、たとえばポリカーボネート支持シートの厚さを増やして、パッドの柔軟性を低減することにより、密な配列内の圧力を低減してディッシングを低減することもできる。適切なパッドの例は、約40ミクロン以下の厚さを持つ支持シートに砥粒ポストが付着しているパッドである。
【0043】
さらにディッシングは、第2の研磨ステップにおいて、化学薬品中の抑制剤の量を増やすことにより、約600Å以下に減少できることが分かった。したがって、本発明の実施形態は、約0.2重量%〜約1.0重量%の抑制剤、たとえば5−メチル・ベンゾトリアゾールと、その他少なくとも1つのアゾール基を含有する化合物を含む化学薬品により、第2の研磨ステップを実施することを備える。
【0044】
化学薬品の活性成分、たとえば酸化剤やキレート剤の濃度を、約3倍まで増やすことによって、ディッシングにさらに改善が見られた。
【0045】
本発明の実施形態は、基板および/または研磨パッドに、化学薬品を、毎分約300ミリリットルの流速で流し、その化学薬品を再利用することによって、研磨ステップ(a)および(b)中に生成された粒子を効果的に除去することを備える。そのような粒子の除去は、毎分約150Å以下などの毎分約200Å以下で静的除去速度を維持することによっても実現され得る。
【0046】
ディッシングや侵食を低減するさらなる改善が、本発明の実施形態中、1つのプラテン上での第1の研磨ステップ完了時で、第2プラテン上での第2の研磨ステップ開始前と、第2プラテン上での第2の研磨ステップ完了点で、別のプラテン上でのバリア層除去の開始前に、基板表面および/または研磨パッドを、ベンゾトリアゾールなどの抑制剤に適用、あるいはさらすことによって実現され、それにより静的除去を避ける一方で研磨岩屑を効果的に除去される。したがって、約0.2重量%〜約1.0重量%の抑制剤、たとえば5−メチル・ベンゾトリアゾールと、その他少なくとも1つのアゾール基を含有する化合物、および純水を含む溶剤が適用され得る。各研磨ステップを終了する際に、純水に対して抑制剤を使用することにより、過度の静的除去を効果的に防ぎ、続くステップを開始する前に比較的きれいな、欠陥の少ない基板が提供される。
【0047】
本発明の実施形態が、図1〜図4に模式的に図示されており、ここで類似の特徴は類似の参照番号をもっている。図1に言及すると、たとえば酸化シリコンなどの誘電層10が基板(示されない)を覆って形成される。複数の開口11が指定区域Aに形成され、指定区域Aにはオープン・フィールドBに隣接して導電線の密な配列が形成されることになる。TaNなどのバリア層12が、酸化シリコン誘電層10の上面に、開口11に沿って堆積される。通常、開口11は約1ミクロン未満で、たとえば約0.1ミクロンなど、約0.2ミクロン未満の距離Cで隔てられている。次に銅層13が、約8,000Å〜約18,000Åの膜厚Dで堆積される。
【0048】
図2に言及すると、第1の研磨ステップが、銅層13を約500Å〜約3,000Åの膜厚Eまで低減するように、毎分約5,000Åを越す除去速度で実施される。
【0049】
図3に示されるように、第2の研磨ステップがTaNバリア層12に対して高い選択性をもって実施され、TaNバリア層12上で停止する。
【0050】
図4に示されるように、TaN層12を除去し、酸化シリコン表面をバフ研磨してスクラッチや欠陥を除去したり低減したりするために、逆転した選択性をもってバフ研磨が実施され、それにより平坦化が完了する。結果形成された銅の相互接続構造は、オープン・フィールドBに隣接した銅線13の密な配列Aを備える。上面40は、侵食やディッシングが実質上ない、非常に高度の平坦性を示す。
【0051】
本発明の1態様は、基板平坦化のためにCMPシステムを制御する、コンピュータ・システムの使用に関連する。図5は、CMPシステム122を制御するためのソフトウェアを実行するよう構成された、汎用コンピュータ・システム100を描いている。コンピュータ・システム100は、コンピュータ102、1つ以上のディスプレイ・デバイス104、および1つ以上の入力デバイス106を含有する。コンピュータ102はIntel486マイクロプロセッサなどの中央演算処理装置(CPU)108、メモリ110および、数値計算コプロセッサ、電源その他の各種支持回路112を含有する。そのようなコンピュータ・システムは一般にパーソナル・コンピュータとして知られているが、本発明はパーソナル・コンピュータに限られるものではなく、実際にはワークステーション、ミニコンピュータ、メインフレームやスーパコンピュータ上で履行され得る。そのようなコンピュータと共に用いられる入力デバイス106には、キーボード、マウス、トラックボールなどが含まれる。ディスプレイ・デバイス104はコンピュータ・モニタ、プリンタおよびプロッタを含む。
【0052】
コンピュータ・システム100はまた、情報やCPU108によって実行されるべき命令を格納するために、ランダム・アクセス・メモリ(RAM)や、その他の動的記憶デバイスなどのメモリ110を含む。メモリ110は、CPU108によって実行されるべき命令の実行中、一時変数やその他の中間非情報を格納するためにも使用される。メモリ110はさらに、静的情報やCPU108のための命令を格納する、読出専用記憶素子(ROM)またはその他の静的記憶デバイスを含む。メモリ110はまた、情報や命令を格納するために備えられる、磁気ディスクや光ディスクなどの記憶デバイスを含んでもよい。
【0053】
インタフェース124はコンピュータ・システム100がCMPシステム122と、特にCMPシステム・コントローラ154と通信できるようにする。CMPシステム122は小さいパッド・システムにも、大きいパッド・システムにも、あるいはリニア・ベルト研磨システムにもすることができる。例として、小さいパッド・システムが描かれている。小さいパッド・システムは通例、回転板128を回転可能に支持する土台126と、回転板128の上に吊るされ、腕木132に支持される可動管状研磨アーム130を含む。腕木は土台上に回転板越しに、土台から上に延びて向き合った直立材134、134aによって維持される。回転板は、好ましくは、適合パッドをその上面に固定されて含む。研磨される上面138を持つ基板136は、基板が研磨されるときに基板を研磨アームの下位置に維持するために、研磨パッド上に設置される。管状研磨アーム130は、その下の開放端142に位置する研磨パッド140を持ち、通例基板の上面全体を、放射状に移動して研磨を行なう。研磨パッドは、好ましくは、回転する基板全体に直線状に、端から中央へ、研磨終点(たとえばあらかじめ定めた表面の非均一性の度合い)に到達するまで連続して移動される。
【0054】
CMPシステム・コントローラ154は、回転板(または直線的に移動するベルト)の動きと研磨アームの動きを制御する。具体的には、制御システムは回転板128に連結するモータ152の回転速度を制御する。また、腕木132に連結されるモータ150によってリニアの動きが提供される。コントローラ154の制御によるリニア位置決め機構144が、負荷機構148を通してパッドの基板表面への圧力を制御し、またモータ146を通してパッドの回転を制御する。このように、コントローラ154が、この小さいパッドのCMP研磨システムの、すべての態様を制御する。
【0055】
本発明の実施形態によれば、基板表面の平坦化がコンピュータ・システム100によって提供され、コンピュータ・システム100はCPU108に応じてCMPシステム122を制御し、CPU108はメモリ110内のプログラム120に含有される1つ以上の命令の、1つ以上の手順を実行する。たとえば、命令は格納デバイスなど別のコンピュータ可読媒体から、主メモリに読み込まれ得る。メモリ110に含有される命令の手順を実行することによって、CPU108がそこに記述されている処理ステップを遂行する。マルチプロセッシング構成された1つ以上のプロセッサも、メモリ110に含有される命令の手順を実行するために採用されてよい。別の実施形態では、ハード配線された回路がソフトウェアの命令に代わって、またはそれと共に、本発明を実施するために使用され得る。このように、本発明の実施形態は、特定のハードウェア回路とソフトウェアの組み合わせに制限されるものではない。
【0056】
ここに使用されているように、「コンピュータ可読媒体」とは、実行のためにCPU108へ命令を提供することに関わる、いかなる媒体も指す。そのような媒体は多くの形式をとることができ、不揮発性媒体、揮発性媒体、および伝送媒体を、それに制限せずに含む。不揮発性媒体には、たとえば記憶デバイスなどの光ディスクや磁気ディスクを含む。揮発性媒体には、主メモリなどの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線および光ファイバを含み、これにはシステム・バスを備えるワイアが含まれる。伝送媒体はまた、無線(RF)データ通信および赤外線(IR)データ通信中に発生するような、音波または光波の形をとることもできる。コンピュータ可読媒体の一般の形式には、たとえばフロッピー・ディスク、フレキシブル・ディスク、ハード・ディスク、磁気テープ、その他の磁気媒体、CD−ROM、DVD、その他の光媒体、パンチ・カード、紙テープ、その他の穴パターンを持つ物理媒体、RAM、PROM,およびEPROM、FLASH−EPROM、その他のメモリ・チップまたはカートリッジ、以下記述される搬送波、またはその他のコンピュータが可読のいかなる媒体が含まれる。
【0057】
コンピュータ可読媒体の様々な形式は、1つ以上の命令の1つ以上の手順を、実行のためにCPU108へ運ぶことに関わる。たとえば、命令は最初リモート・コンピュータの磁気ディスク上に保持される。リモート・コンピュータは命令をその動的メモリにロードして、モデムを使用して電話線を通じ命令を送ることができる。コンピュータ・システム100にローカルなモデム(示されない)が、電話線上のデータを受信し、赤外線転送処理を使用してデータを赤外線信号に変換できる。入力デバイス106のような赤外線検出器により、データをシステム・バス上に置くことができ、システム・バスがメモリ110へデータを運び、CPU108はメモリ110から命令を検索して実行する。メモリ110で受信された命令は、CPU108により実行の前、または後のいずれにも、任意で記憶デバイス上に格納してよい。
【0058】
本発明は、様々の半導体製造段階中の平坦化に適用できる。本発明は、深くはサブミクロン範囲の金属特徴を持つ高密半導体デバイスの製造において、特に適用性を享受する。
【0059】
本開示では、本発明の好適実施形態のみ、その汎用性のうちのいくつかの例が示され記述されている。本発明は、その他の様々な組み合わせや環境においても使用可能であり、またここに表されている発明の概念の範囲内で、変更や修正が可能であることは理解されるべきである。
【図面の簡単な説明】
上に列挙された、本発明の特徴、利点および目的が達成され、また詳細にわたり理解されるために、添付図面に図示されたその実施形態を参照して、上に簡潔にまとめた発明を、より詳細に記述する。
しかしながら、添付図面は本発明の典型的な実施形態のみを図示し、発明はその他の等しく効果的な実施形態を認め得るものであるため、したがって添付図面は発明の範囲を制限するものとは考えられないことに注意されるべきである。
【図1】本発明の実施形態にしたがう方法の、連続的段階を図示する。
【図2】本発明の実施形態にしたがう方法の、連続的段階を図示する。
【図3】本発明の実施形態にしたがう方法の、連続的段階を図示する。
【図4】本発明の実施形態にしたがう方法の、連続的段階を図示する。
【図5】発明の1実施形態にしたがうCMPシステムを制御するため構成された、コンピュータ・システムのブロック図を描く。
【符号の説明】
10…誘電層、11…開口、12…バリア層、13…銅層、A…指定区域、B…オープン・フィールド、C…距離、D…膜厚、E…膜厚、40…上面、100…汎用コンピュータ・システム、102…コンピュータ、104…ディスプレイ・デバイス、106…入力デバイス、108…中央演算処理装置(CPU)、110…メモリ、112…支持回路、120…プログラム、122…CMPシステム、124…インタフェース、126…土台、128…回転板、130…管状研磨アーム、132…腕木、134および134a…直立材、136…基板、138…上面、140…研磨パッド、142…開放端、144…リニア位置決め機構、146…モータ、148…負荷機構、150…モータ、152…モータ、154…CMPシステム・コントローラ。

Claims (29)

  1. 銅のパシベーション・ドメインのpHと酸化還元電位を持つよう形成されている第1研磨組成を用いて、第1プラテン上で基板表面を研磨し、第1除去速度で銅層または銅合金層を低減するステップ(a)と、
    第2プラテン上で基板を研磨し、前記第1除去速度よりも遅い第2除去速度で前記銅層または銅合金層を除去するステップ(b)と、
    を備える、バリア層上に堆積された銅層または銅合金層を含有する基板表面の平坦化方法。
  2. 前記第1研磨組成は、0.5重量%〜10重量%の酸化剤、0.05重量%〜0.20重量%の抑制剤、0.5重量%〜5.0重量%の間の第1のキレート剤、3.0重量%〜15.0重量%の間の第2のキレート剤、及び、バランスの純水を含む、請求項1に記載の方法。
  3. 前記第1研磨組成は、pH5〜8である、請求項2に記載の方法。
  4. 第2研磨組成を用いて、前記第2プラテン上で基板を研磨し、前記第2研磨組成は、0.3重量%〜6.0重量%の酸化剤、0.03重量%〜0.5重量%の抑制剤、0.25重量%〜5.0重量%の第3のキレート剤、1.0重量%〜6.0重量%の第4のキレート剤、及び、バランスの純水を含む、請求項2に記載の方法。
  5. 前記第2研磨組成は、pH5〜8である、請求項4に記載の方法。
  6. 第3プラテン上で前記バリア層を除去することをさらに備える、請求項1に記載の方法。
  7. 前記第1除去速度が毎分5,000Åよりも速く、前記第2除去速度が毎分250Å〜毎分3,000Åの間である、請求項1に記載の方法。
  8. 前記バリア層がタンタル(Ta)またはタンタル窒化物(TaN)を備え、誘電物質の上に堆積される、請求項6に記載の方法。
  9. 前記ステップ(b)が、100:1よりも高い、銅:バリア層の選択性をもって遂行される、請求項1に記載の方法。
  10. 前記ステップ(b)が、密な配列中のディッシングが600Å以下である条件下で遂行される、請求項9に記載の方法。
  11. 前記ステップ(a)およびステップ(b)が、前記第1および第2プラテン上にそれぞれ取り付けられた、回転研磨パッド、静止研磨パッド、またはリニア固定砥粒研磨パッド上で、砥粒フリーの複数の化学薬品を使用して遂行される、請求項10に記載の方法。
  12. 前記第1および第2プラテンが、前記ステップ(a)およびステップ(b)中に、60rpm未満で回転されるか、あるいは前記第1および第2プラテンにそれぞれ配置された第1および第2ベルトが、毎秒30インチ未満の速度で直線的に移動される、請求項11に記載の方法。
  13. 前記ステップ(a)の完了時で、前記第2プラテン上での前記ステップ(b)開始前と、前記第2プラテン上での前記ステップ(b)完了点で、別のプラテン上でのバリア層除去の開始前に、前記基板表面および/または前記研磨パッドを洗浄することをさらに備える、請求項11に記載の方法。
  14. 前記複数の化学薬品を再利用することをさらに備える、請求項11に記載の方法。
  15. 前記複数の化学薬品が前記研磨パッドまたは前記基板表面に、毎分300ミリリットル以上の流速で供給される、請求項11に記載の方法。
  16. 前記基板表面のある静的除去速度が、毎分200Å以下である、請求項11に記載の方法。
  17. 前記研磨パッドまたは前記基板表面を、前記ステップ(a)終了後、前記ステップ(b)開始前に、抑制剤にさらすことをさらに備える、請求項11に記載の方法。
  18. 前記研磨パッドまたは前記基板表面を、前記ステップ(b)終了後、前記バリア層の除去開始前に、抑制剤にさらすことをさらに備える、請求項6に記載の方法。
  19. 前記研磨パッドまたは前記基板表面を、前記ステップ(a)終了後、前記ステップ(b)開始前に、抑制剤にさらし、前記研磨パッドまたは前記基板表面を、前記ステップ(b)終了後に、抑制剤にさらし、前記複数の化学薬品を再循環することをさらに備える、請求項11に記載の方法。
  20. 前記研磨パッドの温度が、50℃以下である、請求項12に記載の方法。
  21. 前記固定砥粒研磨パッドが、75ミクロン〜150ミクロンの間の直径と、前記基板表面との10%〜25%の間の接触面積比を有する砥粒ポストを備える、請求項11に記載の方法。
  22. 前記砥粒ポストが40ミクロン以下の厚さを持つ支持シートに付着されている、請求項21に記載の方法。
  23. 前記ステップ(b)が、0.2重量%〜1.0重量%の間の抑制剤を有する化学薬品を含む、請求項1に記載の方法。
  24. 前記バリア層が前記基板表面から、10:1よりも大きいバリア層対誘電層比で除去される、請求項8に記載の方法。
  25. 前記ステップ(a)およびステップ(b)の間、前記第1および第2プラテンを、60rpm未満でそれぞれ回転するか、あるいは前記第1および第2ベルトを、毎秒30インチで直線的に移動して、ここで前記研磨パッドの温度を50℃以下にすることにより、密な配列中のディッシングを制御する工程、を更に備える、請求項19に記載の方法。
  26. 前記ステップ(a)およびステップ(b)の間、少なくとも毎分300ミリリットルの流速で、前記研磨パッドまたは前記基板表面に前記化学薬品を供給し、前記化学薬品中の抑制剤の量を制御して、毎分200Å以下の前記基板表面の静的除去速度を提供することにより、粒子の除去を制御する工程を更に備える、請求項19に記載の方法。
  27. 前記ステップ(a)およびステップ(b)の間、前記第1および第2プラテンを、60rpm未満でそれぞれ回転するか、あるいは前記第1および第2ベルトを、毎秒30インチで直線的に移動して、ここで前記研磨パッドの温度を50℃以下にすることにより、密な配列中のディッシングを制御する工程、前記ステップ(a)およびステップ(b)の間、少なくとも毎分300ミリリットルの流速で、前記研磨パッドまたは前記基板表面に前記化学薬品を供給し、前記化学薬品中の抑制剤の量を制御して、毎分200Å以下の前記基板表面の静的除去速度を提供することにより、粒子の除去を制御する工程を更に備える、請求項19に記載の方法。
  28. コンピュータに基礎付けられた制御システムが使用されて、前記基板表面の平坦化方法のステップを順序付け及び制御する、請求項1〜27のいずれか一項に記載の方法。
  29. 第1研磨組成を用いて、第1プラテン上で基板表面を研磨し、第1除去速度で銅層または銅合金層を低減するステップ(a)と、
    第2プラテン上で基板を研磨し、前記第1除去速度よりも遅い第2除去速度で前記銅層または銅合金層を除去するステップ(b)と、
    を実行する研磨システムを、命令は、1つ以上のプロセッサにより命令が実行されるときに、1つ以上のプロセッサに制御させるように、取り決められており、前記第1研磨組成は、銅のパシベーション・ドメインのpHと酸化還元電位を持つよう形成されている、前記基板表面を平坦化するための命令を保持するコンピュータ可読媒体。
JP2000389237A 1999-12-21 2000-12-21 侵食およびディッシングが低減された高スループット銅cmp Expired - Fee Related JP4936590B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/469709 1999-12-21
US09/469,709 US7041599B1 (en) 1999-12-21 1999-12-21 High through-put Cu CMP with significantly reduced erosion and dishing

Publications (2)

Publication Number Publication Date
JP2001308040A JP2001308040A (ja) 2001-11-02
JP4936590B2 true JP4936590B2 (ja) 2012-05-23

Family

ID=23864795

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000389237A Expired - Fee Related JP4936590B2 (ja) 1999-12-21 2000-12-21 侵食およびディッシングが低減された高スループット銅cmp

Country Status (5)

Country Link
US (2) US7041599B1 (ja)
EP (1) EP1111665A3 (ja)
JP (1) JP4936590B2 (ja)
KR (1) KR100751985B1 (ja)
TW (1) TW478042B (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
JP3356126B2 (ja) * 1999-08-10 2002-12-09 日本電気株式会社 半導体装置の製造方法及び化学的機械研磨装置
US6432826B1 (en) * 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
JP2001185550A (ja) * 1999-12-24 2001-07-06 Kishimoto Sangyo Co Ltd 半導体装置用の被膜形成剤
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US20030109204A1 (en) * 2001-12-06 2003-06-12 Kinik Company Fixed abrasive CMP pad dresser and associated methods
JP4076131B2 (ja) * 2002-06-07 2008-04-16 富士通株式会社 半導体装置の製造方法
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7141502B1 (en) * 2003-09-29 2006-11-28 Advanced Micro Devices, Inc. Slurry-less polishing for removal of excess interconnect material during fabrication of a silicon integrated circuit
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7210988B2 (en) * 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
CN100414666C (zh) * 2005-10-14 2008-08-27 联华电子股份有限公司 复合式化学机械抛光法
WO2007094869A2 (en) * 2005-10-31 2007-08-23 Applied Materials, Inc. Electrochemical method for ecmp polishing pad conditioning
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
JP5015696B2 (ja) * 2006-09-04 2012-08-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法及び製造装置
US20090001370A1 (en) * 2007-06-28 2009-01-01 Lin Wallace W Method and apparatus for extracting properties of interconnect wires and dielectrics undergoing planarization process
KR101481573B1 (ko) * 2008-02-12 2015-01-14 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물 및 이를 이용한화학적 기계적 연마 방법
US20090215266A1 (en) 2008-02-22 2009-08-27 Thomas Terence M Polishing Copper-Containing patterned wafers
US20110070811A1 (en) * 2009-03-25 2011-03-24 Applied Materials, Inc. Point of use recycling system for cmp slurry
CN102079063B (zh) * 2009-12-01 2013-09-18 中芯国际集成电路制造(上海)有限公司 化学机械研磨方法
JP2012148376A (ja) 2011-01-20 2012-08-09 Ebara Corp 研磨方法及び研磨装置
CN102615584A (zh) * 2011-01-31 2012-08-01 中芯国际集成电路制造(上海)有限公司 一种化学机械研磨的方法
JP5695963B2 (ja) 2011-04-28 2015-04-08 株式会社荏原製作所 研磨方法
KR101363890B1 (ko) 2012-06-07 2014-02-19 에이엠테크놀로지 주식회사 웨이퍼 양면 연마장치의 정반 페이싱 장치
WO2014109929A1 (en) 2013-01-11 2014-07-17 Applied Materials, Inc Chemical mechanical polishing apparatus and methods
JP6345489B2 (ja) * 2014-06-02 2018-06-20 株式会社荏原製作所 研磨液の研磨性能判定方法及び装置
US20160027668A1 (en) * 2014-07-25 2016-01-28 Applied Materials, Inc. Chemical mechanical polishing apparatus and methods
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN115056131A (zh) * 2022-03-21 2022-09-16 康劲 多层铜布线cmp中通过螯合剂修复d坑和蚀坑的方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014468A (en) 1989-05-05 1991-05-14 Norton Company Patterned coated abrasive for fine surface finishing
US5437754A (en) 1992-01-13 1995-08-01 Minnesota Mining And Manufacturing Company Abrasive article having precise lateral spacing between abrasive composite members
US5540810A (en) 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
US5454844A (en) 1993-10-29 1995-10-03 Minnesota Mining And Manufacturing Company Abrasive article, a process of making same, and a method of using same to finish a workpiece surface
US5453312A (en) 1993-10-29 1995-09-26 Minnesota Mining And Manufacturing Company Abrasive article, a process for its manufacture, and a method of using it to reduce a workpiece surface
US5340370A (en) 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP3305911B2 (ja) * 1995-03-15 2002-07-24 株式会社東芝 研磨方法および研磨装置並びにそれに用いる研磨砥石
US5893796A (en) 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
JP3686126B2 (ja) * 1995-07-10 2005-08-24 株式会社クラレ 漁網
KR970023786A (ko) * 1995-10-20 1997-05-30 김광호 실리콘 온 인슐레이터(soi) 웨이퍼의 연마방법
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
JP3076244B2 (ja) * 1996-06-04 2000-08-14 日本電気株式会社 多層配線の研磨方法
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US5692950A (en) 1996-08-08 1997-12-02 Minnesota Mining And Manufacturing Company Abrasive construction for semiconductor wafer modification
JPH10233374A (ja) * 1997-02-19 1998-09-02 Hitachi Ltd 半導体装置の製造方法およびそのシステム
US5842910A (en) 1997-03-10 1998-12-01 International Business Machines Corporation Off-center grooved polish pad for CMP
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
CA2287404C (en) 1997-04-30 2007-10-16 David A. Kaisaki Method of planarizing the upper surface of a semiconductor wafer
JPH1140526A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 配線形成方法及び半導体装置の製造方法
US6068879A (en) 1997-08-26 2000-05-30 Lsi Logic Corporation Use of corrosion inhibiting compounds to inhibit corrosion of metal plugs in chemical-mechanical polishing
JP4253048B2 (ja) * 1997-10-15 2009-04-08 栗田工業株式会社 研磨剤スラリ回収装置
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6096652A (en) 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
US5897426A (en) 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
JP3132468B2 (ja) * 1998-05-20 2001-02-05 日本電気株式会社 半導体ウェハ研磨装置及びその研磨方法
US6113465A (en) 1998-06-16 2000-09-05 Speedfam-Ipec Corporation Method and apparatus for improving die planarity and global uniformity of semiconductor wafers in a chemical mechanical polishing context
US6217416B1 (en) * 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6863593B1 (en) 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
WO2000030154A2 (en) 1998-11-16 2000-05-25 Rodel Holdings, Inc. Method to control film removal rates for improved polishing in metal cmp
US6184141B1 (en) 1998-11-24 2001-02-06 Advanced Micro Devices, Inc. Method for multiple phase polishing of a conductive layer in a semidonductor wafer
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6261158B1 (en) 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
US6555466B1 (en) 1999-03-29 2003-04-29 Speedfam Corporation Two-step chemical-mechanical planarization for damascene structures on semiconductor wafers
US6261157B1 (en) * 1999-05-25 2001-07-17 Applied Materials, Inc. Selective damascene chemical mechanical polishing
US6274478B1 (en) 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
JP4264781B2 (ja) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
EP1093161A1 (en) 1999-10-12 2001-04-18 Applied Materials, Inc. Method and composite arrangement inhibiting corrosion of a metal layer following chemical mechanical polishing

Also Published As

Publication number Publication date
JP2001308040A (ja) 2001-11-02
KR20020010440A (ko) 2002-02-04
KR100751985B1 (ko) 2007-08-28
TW478042B (en) 2002-03-01
EP1111665A3 (en) 2004-01-02
US7041599B1 (en) 2006-05-09
US20010004538A1 (en) 2001-06-21
EP1111665A2 (en) 2001-06-27

Similar Documents

Publication Publication Date Title
JP4936590B2 (ja) 侵食およびディッシングが低減された高スループット銅cmp
US6638143B2 (en) Ion exchange materials for chemical mechanical polishing
US6656842B2 (en) Barrier layer buffing after Cu CMP
TW529978B (en) Abrasive-free metal CMP in passivation domain
US6709316B1 (en) Method and apparatus for two-step barrier layer polishing
US6435944B1 (en) CMP slurry for planarizing metals
US6750128B2 (en) Methods of polishing, interconnect-fabrication, and producing semiconductor devices
US6743268B2 (en) Chemical-mechanical planarization of barriers or liners for copper metallurgy
US6524167B1 (en) Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US7012025B2 (en) Tantalum removal during chemical mechanical polishing
US20060144825A1 (en) Dual reduced agents for barrier removal in chemical mechanical polishing
US6561875B1 (en) Apparatus and method for producing substrate with electrical wire thereon
US6872329B2 (en) Chemical mechanical polishing composition and process
WO2003006205A2 (en) Barrier removal at low polish pressure
KR20030078002A (ko) 반도체 장치의 제조 방법
US20110294293A1 (en) Chemical planarization of copper wafer polishing
WO2006042466A1 (en) The system, method and abrasive slurry for chemical mechanical polishing
US20020148169A1 (en) Composition for metal CMP with low dishing and overpolish insensitivity
US20020173221A1 (en) Method and apparatus for two-step polishing
TWI408739B (zh) 化學機械拋光系統、方法以及研磨劑
JP2001144050A (ja) 研磨方法
US20050260855A1 (en) Method and apparatus for planarizing a semiconductor wafer
JP2001326198A (ja) 銅系金属用研磨組成物、銅拡散防止材料用研磨組成物および半導体装置の製造方法
JP2001144062A (ja) 研磨方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071203

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110415

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110516

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110519

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110616

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150302

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees