JP4925507B2 - スペクトル干渉法を用いる膜厚制御 - Google Patents

スペクトル干渉法を用いる膜厚制御 Download PDF

Info

Publication number
JP4925507B2
JP4925507B2 JP2000392867A JP2000392867A JP4925507B2 JP 4925507 B2 JP4925507 B2 JP 4925507B2 JP 2000392867 A JP2000392867 A JP 2000392867A JP 2000392867 A JP2000392867 A JP 2000392867A JP 4925507 B2 JP4925507 B2 JP 4925507B2
Authority
JP
Japan
Prior art keywords
substrate processing
radiation
substrate
plasma
reference pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000392867A
Other languages
English (en)
Other versions
JP2001244254A (ja
Inventor
サルファティ モーシュ
バラスブラムハンヤ ラリーサ
ダヴィドウ ジェッド
リンベロパウロス ディミトリス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001244254A publication Critical patent/JP2001244254A/ja
Application granted granted Critical
Publication of JP4925507B2 publication Critical patent/JP4925507B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【0001】
【発明の属する技術分野】
本発明は、エッチングおよび堆積プロセスを制御する方法を含む、膜厚を監視するための技術に関する。本発明の一実施形態は、広帯域光源としてプラズマ発光を使用するとともに、エッチングされているウェハから反射される放射を分光計で測定することによって、プラズマエッチングプロセスの終点を制御、および検出する方法に関する。本発明は、各種のプラズマおよび非プラズマプロセスの制御に使用可能であり、集積回路の製造に使用されるプラズマエッチングプロセスの制御に特に役立つ。
【0002】
【従来の技術】
プラズマエッチング環境における半導体基板の一つ以上の層のエッチングは、集積回路の製造の最も一般的なステップの一つである。半導体製造における代表的なプラズマエッチングプロセスは、時限(timed) プロセスとして制御と停止が行なわれるか、あるいはプラズマの一定核種の光学的発光線を監視する終点検出(エッチングされる膜が完全に除去される時の検出)技術によって制御と停止が行なわれる。
【0003】
新世代の集積回路が前世代で考えられたものより小さいフィーチャサイズを使用するにつれて、より大きな要望が製作プロセスに寄せられている。これらの要望は、プラズマエッチングプロセスのタイミングを正確に制御できることを含む。例えば、ポリシリコンのゲート構造のエッチングに使用される一つの共通プロセスは3つの独立ステップを含む。第1ステップは、ポリシリコン上に形成された酸化物トップ層を除去し、第2ステップは、ウェハの処理量を増すために比較的高いエッチング速度でポリシリコンの本体をエッチングし、第3ステップは、より速度は遅いが(下層の酸化物層に対して)より選択的な最終エッチングに切り換える。
【0004】
このようなエッチングで下層のゲート酸化物層(多分、厚さ25Å以下)の完全性を保つためには、プロセススイッチを作動してプラズマエッチングの終了近くでゲート酸化物に対する高い選択性を獲得する終点予測技術を持つことが必要である。それぞれのエッチングステップ間の変動のために、時限エッチングはポリシリコン層の不完全または過剰エッチングを招くかもしれない。同様に、光学線の発光を監視する終点検出技術の使用は、下層(すなわち、この場合はゲート酸化物)をプラズマエッチング化学作用に曝露することに依存している。このような曝露は、ゲート酸化物層の完全性を低下させる場合がある。かくして、プラズマ環境への下層の曝露を避けるために、ウェハ状態を直接に監視する終点予測技術が必要となる。
【0005】
この要件を満たすために開発された一つのプロセスが、干渉法による終点(IEP)検出システムである。IEPシステムは、強力な水銀原子線発光を発生させる、二股の光ファイバに接続された水銀(Hg)ランプを使用する。ファイバの出力端にセットされたコリメートレンズと折畳み式ミラーが、ドームの頂部に取り付けられたサファイアの窓を通してウェハ上の直径約1cmのスポット直径を照らす。ウェハから反射した光は二股ファイバの第2アームに戻され、光電子倍増管やホトダイオードなどの単一波長光学検出器によって測定される。単一波長光学信号は、トップ層の膜の厚さがエッチングされるに従って、光学縞を示す。吸収の弱いまたは非吸収性の膜については、縞カウントをエッチング速度測定とエッチング対深さ予測に対して使用できる。しかしながら、中には吸収性の高い膜があり、縞は或る膜厚以下でしか観察されないだろう。現状では、単一波長検出は、IEPシステムを既存のHg線に限定し、通常、有用な線は、254、313、365、404、および435nmである。デバイスのフィーチャが小さくなるにつれて、より薄い膜をより良く分解できる、より短い波長(UV)の線を使用することが有利となる。更に、スペクトル依存吸収などの膜特性は、Hgランプによって利用できるこれらの線以外の光学線の使用を必要とするかもしれない。
【0006】
プラズマエッチングプロセスの終点を検出するために開発された別の技術は、ウェハ上の多数の点からの単一波長の放射の反射を監視するために、適切なセンサ、フィルタ、光学装置およびソフトウェアを持つCCDカメラを使用する。この技術を用いて、2つ以上の縞のタイミングを検討および比較することによってエッチグプロセスのエッチング速度を決定できる。この技術は直接に膜厚を決定できないが、その代わりにこの技術を用いて、堆積された際の膜の厚さと測定されたエッチング速度に基づいて厚さを推定できる。
【0007】
エピタキシャル膜の成長を監視するために使用されている別の技術では、ウェハから反射される放射の複数の波長を分光器で測定する。その技術は次いで、膜厚、成長率等を説明するために、膜の屈折率などの光学定数に基づいて膜成長のモデルを作成する。この技術は、異なるプロセスと、更には同一プロセスの最終膜厚、温度条件等の変動をも制御するきわめて幅広いものかもしれない独立モデルが開発されることを要求する。ウェハパターンによる信号の変動を考慮すると、このモデルは一層複雑なものとなる。
【0008】
従って、エッチングおよび堆積プロセスの膜厚をその場で監視するための新しい技術が望ましい。
【0009】
【課題を解決するための手段】
本発明は、改良されたプラズマエッチングの監視と終点予測技術を含む、膜厚を監視するための改良技術を提供する。本発明の一実施形態は、広帯域光源としてエッチングプロセスのプラズマ発光を使用するとともに、分光計を用いてウェハからの反射率を測定する。次に、複数の測定波長を、パターン認識技術を用いて、先の、好ましくはプラズマエッチングプロセスを制御するための生産前校正運転時に採取した先行測定値と比較する。先行測定値は、同一集積回路設計の製造で使用される後続のウェハに対して実行される同一プロセスから測定されるデータに対し後から比較できる特定集積回路設計用の特定プロセスの「指紋」として働く。指紋はエッチングプロセスの様々な時点で採取可能であり、生産膜の厚さが先に確認された時点の校正プロセス膜の厚さに一致する時を確認することによって、プロセスの時間を調整するためのチェックポイントとして使用される。一旦、その「一致」厚さが求められると、プロセス化学作用の変更や、その終点に達したときにプロセスを完全に停止すること等の処置を取ることができる。他の実施形態は堆積膜の厚さを監視および制御し、ある実施形態は、プラズマ発光以外の光源、例えば水銀、重水素やキセノンランプによって発生するウェハ表面からの放射の反射率を測定する。
【0010】
本発明の方法の一実施形態は、パターン認識比較を実行するために主成分分析(PCA)技術を使用する。PCAは基本的に、入力変数を、初期変数の線形の組合せである主成分(PC)と呼ばれる一組の直交ベクトルに変換する。この技術は、しばしば多くのパラメータが相関するという事実を利用している。PCベクトル(固有ベクトル)は、それらの固有値によって決定される。通常、2つのPCベクトルが、信号の変動の90%以上を捕捉するので、システムの次元の数(dimensionality)を最小にする。本発明の別の実施形態は、プログラムされた神経網パターン認識技術を使用する。
【0011】
本発明のこれらとその他の実施形態を、その利点と特徴とともに、以下の本文と添付の図面に関連して、より詳細に説明する。
【0012】
【発明の実施の形態】
I.本発明の実施のために構成される代表的なプラズマエッチングシステム
本発明の方法は、任意の標準プラズマエッチングチャンバと共に使用可能で、ウェハの表面から反射される放射を測定するためにチャンバ内に分光計その他のタイプの放射コレクタを置くことができるか、あるいはチャンバは、上記放射をチャンバ外に配置された分光計に向けることのできる窓または覗き窓を含む。図1は、本発明の方法を実行するために構成された例示的なプラズマエッチングチャンバ10の簡易断面図である。図1に示すように、エッチングチャンバ10は、基板処理領域14を囲むハウジング12を含む。エッチングプロセス中は、基板18は、ペデスタル16に支持されて、領域14で形成されるプラズマに曝露される。プラズマは、光学スペクトル(すなわち、約180〜1100nm)の波長を持つ発光を含む電磁放射を発生させる。これらの放射光の一部は、基板18の表面から反射されて窓20を通るので、分光計22によって測定できる。折畳み式ミラー24は、窓20を通る放射を反射して、光ファイバケーブル28に放射を導くレンズ26の方向に向ける。光ファイバケーブル28は、その中を放射が移動して分光計22に達するための媒体物である。折畳み式ミラー24とレンズ26とは、基板18の上面から反射された放射が窓20を通って光ファイバ28に垂直に入るように配置される。図1に示すように窓20を基板18の上に置くことによって、チャンバの側面に窓を置くのに対して、測定された放射のより良好な分解が可能になるが、他の実施形態では、チャンバ側面に窓20を配置してもよい。プラズマ発光に加えるか、その代わりに広帯域光源34を使用する実施形態では、光ファイバケーブル28は、二股ケーブルである。これらの実施形態では、光源34は二股ケーブル28のチャンネルの一方に光学的に連結され、分光計22は他方のチャンネルに連結される。広帯域光源34、例えば水銀、重水素またはキセノンランプからの光は、ケーブル28の一方のチャネルに沿って窓20を通って進み、基板18から反射される。反射光は上記のように窓20を通ってケーブル28の他方のチャネルに入った後、最終的に分光計22に達する。
【0013】
分光計22は、波長に基づいて(例えば、プリズムまたは回折格子(図示せず)を介して)放射をスペクトルに分離して、空間的に分離された複数の波長に対して検出信号(例えば、検出電流)を発生させる。プロセッサ32に連結されたデータ収集カード30は、周期的なサンプリング割合で、分離波長を表すデータを収集する。一実施形態では、プロセッサ32はまた、プロセッサに連結されたメモリ31に格納されたコンピュータ命令を実行することによって、チャンバ10の動作も制御する。
【0014】
現在の実施形態では、分光計22は、放射の波長を2048個の線形シリコン電荷結合素子(CCD)アレイ上に空間的に分離する。CCDアレイは、約180〜870nmの放射波長に対して2048の検出電流または2048「チャネル」の検出信号情報(すなわち、光学発光分光学(OES)情報)を発生させる。この実施形態では、データ収集カード30は、1MHzのレートでCCDの出力を周期的にサンプリングして、サンプリングした信号を12ビットのディジタルデータに変換する。
【0015】
II.本発明による校正プロセスの説明
本発明によるプロセスを監視するために、先行プロセスからスペクトル干渉測定値を採取する必要がある。この先行プロセスは、必ずしもではないが通常、生産前運転プロセスであり、本明細書では校正プロセスと呼ぶ。本発明の方法によるプラズマエッチングプロセスの満足すべき監視と制御は、校正プロセスから採取された測定値と監視および制御されるプロセス中に採取された測定値間の一致を確認することに依存する。中でもプロセスの化学作用、チャンバ圧力、基板温度および基板トポロジーなどの要因は、校正プロセスと監視/制御プロセスの両者の間に採取されたスペクトル干渉測定値に影響する。従って、校正プロセスのプロセス状態は、監視/制御プロセスのプロセス状態にできる限り厳密に一致しなければならない。或る実施形態では、これは、監視/制御プロセス中に処理された基板のものと類似のトポロジーを有する基板上の校正測定を行なうことを含む。例えば、制御されるプロセスが、ARCを有する下層の金属層と、底部から上部へ順番にその金属層上に堆積された酸化物層と、パターン加工ホトレジスト層とに対するバイアエッチングの場合、校正プロセスもまた、監視/制御プロセスのトポロジーに実質的に類似するトポロジーを再生するために、類似の酸化物層の上に堆積された類似パターン加工ホトレジスト層を有する基板上で実施しなければならない。
【0016】
図2は、後続の監視/制御プロセス内の望ましいイベントやポイントを検出するために主成分分析(PCA)技術を使用する、本発明の実施形態による校正プロセスに関連するステップを示すフローチャートである。先に述べたように、本発明の他の実施形態は、PCA技術以外のパターン認識技術を使用してもよい。これらの他のパターン認識技術、例えば神経網技術は、所定のパターン認識技術に適した校正プロセスを含むだろう。
【0017】
図2に示すように、校正プロセス40は、本発明の技術(例えば、半導体プラズマエッチングプロセス)を用いて後から監視および制御されるプロセスの生産前運転を実行することによって開始される(ステップ42)。生産前運転の全期間中、データは、データ収集カード30によって周期的に(例えば、1MHzで)収集される(ステップ44)。収集されたデータは、プロセッサ32のメモリ(例えば、ハードディスク)内に格納される。
【0018】
監視すべきプロセスの生産前運転が完了した後、校正プロセスで確認すべき膜厚に対応する一つ以上の時期(time period) が、収集データ内で確認される(ステップ48)。この時間または時期の確認は通常、校正プロセスの後で行なわれ、従って、半導体デバイスのコンタクト開口のための酸化物エッチング等のプロセス時のリアルタイムの使用には不適な、時間はかかるが精巧な確認技術を用いて行なってもよい。例えば、校正プロセスがエッチングプロセスの場合、材料層をエッチングするための終点またはブレークスルータイム(層の厚さが0になる時点)は、同一のプロセス条件下で一連の異なる期間のエッチングを行なうとともに、材料層のエッチングに対する正確な終点またはブレークスルータイムを決定および確認するために各エッチング期間毎に材料層の断面を(例えば、走査電子または透過電子顕微鏡検査技術を介して)検査することによって決定してもよい。
【0019】
次に、主成分分析(PCA)を、選択されたプロセスイベントに対応する、確認時期の近くで収集された校正プロセス用の収集データに対して行なう(ステップ48)。例えば、イベント前、イベント中および/またはイベント後の時点で採取された収集データを備えるデータの窓(例えば、10の異なる測定時点に対するデータを備える窓、またはその他の任意の窓)を検査できる。窓の収集データを用いて、測定された相関属性データを備える行と、各属性セットが測定された時間を備える列を有するマトリックスを形成する。マトリックス内のデータは、収集された状態で分析してもよいが、平均中心を求めること(mean centered) が好ましい(以下に説明)。その後、マトリックス上で特異値の分解を行って、マトリックス内の収集データに対する主成分固有ベクトルが生成される。
【0020】
主成分固有ベクトルが生成された後、確認された時期毎の固有ベクトルを、校正プロセスで測定された膜厚と関連させる。以下に説明するように、通常一つの主成分は特定厚さを示す鋭い特徴を含むだろう(ステップ50)。確認された主成分は次に、確認された厚さに対する「参照(reference) 」主成分として指定される(ステップ52)。以下に説明するように、一旦求められると、その参照主成分を生産プロセスの実施中に(例えば、リアルタイムで)使用して、プロセスが選択厚さに到達した時を速やかに確認できる。このようなリアルタイムのプロセス制御に使用するために、参照主成分は、プロセッサ32にアクセス可能なメモリ31などのメモリに格納してもよい。
【0021】
III .本発明による堆積またはエッチングプロセスの制御
一旦、校正データが収集されて、適切な各プロセス制御点毎の参照主成分が確認されると、本発明の方法を使用して、堆積とエッチングプロセスを監視および制御することができる。プロセスの制御は、プロセスが適切な制御点に達したときにプロセスの化学作用を変更するか、あるいは制御点でプロセスを停止するという形式でよい。例えば、堆積プロセスの場合、特定膜厚の検出を用いて、膜の堆積を停止するか、堆積パラメータを変更することができる(対策の中でも特に、例えば、膜の堆積対エッチング比を変えるか、隙間充填層を堆積させる堆積化学作用からキャッピング層を堆積させる化学作用へと切り換える)。同様に、エッチングプロセスについては、特定膜厚の検出を用いて、エッチングプロセスを停止するか、エッチング化学作用を変更するか、選択された厚さ点での膜の厚さによって決定される他の適切な処置を取ることができる。
【0022】
図3は、本発明の一実施形態によるプラズマエッチング生産プロセスを制御する方法60のステップを示すフローチャートである。方法60は、コンピュータプロセッサ32の制御下で実施できる。生産プロセスは、校正プロセスと本質的に同一のプロセスパラメータで実行される(ステップ62)。生産プロセス中、スペクトル干渉測定値が分光計30によって採取され(ステップ64)、展開窓(evolving window) の中に格納されて、そこで新しい収集データがその窓に加えられ、古いデータは、すべての収集されたスペクトル干渉データが窓を通過するまで、時間の経過とともにその窓から落とされる。生産プロセス用の展開窓は、校正プロセス用の参照主成分を計算するために使用される窓と同一サイズであることが、必ずしもではないが、好ましい。
【0023】
新しいスペクトル干渉データが展開窓に加えられる度に、その中のデータに対する主成分分析が行なわれて、生産プロセス用の一つ以上の主成分を生成させる(例えば、一つ以上の非参照主成分)。代替として、主成分分析を、所望の膜厚に対する予想時点の近くのみで行なってもよい(ステップ66)。
【0024】
各非参照主成分は、参照主成分と比較される(ステップ68)。非参照と参照主成分とは、任意の方法(例えば、引算、基準演算を伴う引算、可干渉タイプ関数による割算等)で比較してもよいが、2つの主成分の内積を計算することによって比較することが好ましい。2つの主成分は単位長さを持つので、参照と非参照主成分が同一方向に変化するほぼ同一の特徴を持つ場合は、参照と非参照主成分の内積は、ほぼ+1.0で、参照と非参照主成分がほぼ同一の特徴を持つが符号が反対の場合は、ほぼ−1.0、また参照と非参照主成分が直交する場合は、ほぼ0である。かくして、参照と非参照主成分の内積を取ることによって、非参照主成分を参照主成分に対して容易に比較できる。
【0025】
次に、参照と非参照主成分がほぼ同一であるか否かについての決定が行なわれる(ステップ70)。同一の場合、所望の膜厚が生産プロセス中に発見されたことを示す信号が生成されるので(ステップ72)、適切な処置(例えば、プロセスの化学作用を変更するかプロセスを完全に停止する)を取ることができる。
【0026】
参照と非参照主成分が一致しないと決定された場合、生産プロセスに対して追加の相関属性を測定し、測定された追加の相関属性が展開窓に加えられる(ステップ64他)。オプションとして、ステップをプロセスに挿入できる(図示せず)、その結果、ステップ70の後で参照と非参照主成分の間の一致が発見されない場合、プロセスが予想よりも長く続いたか(例えば、タイムアウト)否かについて決定が行なわれ、長く続いた場合はそのプロセスを停止できる。
【0027】
IV.代表的なポリシリコンエッチングプロセスの制御に対する本発明の使用
本発明の方法をより良く理解および認識するために、ポリシリコンエッチングプロセスの制御のために使用される方法の実例を以下に提示する。これらの実例は、もっぱら説明のためであって、本願の請求項を制限するものと見做してはならない。実例では、プラズマエッチングプロセスは、薄い酸化物層の上に堆積されたポリシリコン層をエッチングする。分光計22は広いスペクトル範囲(180ないし875nm)を監視して、全スペクトル範囲にわたって干渉縞を検出する。
【0028】
図4は、ある特定のポリシリコンエッチングプロセス用の時間依存光学発光スペクトルを示す。図4のx軸は、スペクトル干渉データが収集された時間を表し、y軸は180〜875nmのスペクトル範囲にわたり、カラー目盛りは、プラズマ光学発光の強さの、その平均強さに対する変化を表す。カラー目盛りのデータは、分光計22のCCDアレイのアナログ出力を12ビットのディジタル信号に変換して計算された。次に、各波長に対する信号の時間平均強さが決定され、時間平均強さに対する測定値、すなわち平均からの変動を表すためにカラーが指定される。
【0029】
計算とカラーの指定はすべて、National Instrumentsによって販売されるLabview(登録商標)ソフトウェアを使って行なわれた。図4で見られるパターンは、ポリシリコン膜がエッチングされるときのスペクトル干渉縞に対応する。縞の間隔は、観察された波長に依存するとともに、膜の屈折の波長依存指数に依存する。
【0030】
図4での膜の完全な除去は、ぼぼ360秒の時点で起こり、そこで縞が図形から消える。この場合のプラズマ発光は、これらの多数の波長縞を獲得するだけ充分に広帯域で、明るく、かつ安定している。縞の強さの変化は、エッチング速度と残存膜厚に関係する。
【0031】
図5は、時間の経過による365nm〜525nmのサブセットの放射波長の強さをプロットした線図である。縞の周期性はエッチング速度に対応し、当該技術に精通する者にすでに知られているように、FFTアルゴリズムを使って計算できる。更に、すでに知られているように、多数の波長情報を使用して膜の絶対厚さと残存膜厚の両者を計算できる。膜の絶対厚さは、屈折と吸光(extinction)の膜の指数n、kの既知の依存関係を使って計算できる。最後に、これも知られているように、波長の関数としての異なる縞の間の位相関係を膜厚として解釈できる。残存膜厚の情報は、波長の関数として縞の外観から集めることができる。膜からの反射信号は、図5に示すように、膜のスペクトル吸収と膜厚に依存する。波長が短い程、長い波長よりも吸収が大きいので、青とUVの縞は、赤線に対して時間的に遅れて観察される。
【0032】
先に検討したように、本発明の方法は、図5に関して上記に検討したものとは異なる膜厚の監視技術を提供する。本発明の方法は、スペクトル干渉データに対するパターン認識アルゴリズムに基づくものである。10秒窓を使用して干渉スペクトルの主たる変化をピックアップする主成分分析アルゴリズム(Labview(登録商標)を使って提示)を図6Aに示す。ついでに言及すると、図6Aのカラー目盛りは、反射された放射の平均強さに対する測定波長の強さの部分微分変化(fraction differential change)を表す。
【0033】
測定スペクトル範囲全体にわたる完全スペクトル干渉パターンは、堆積/エッチングされた膜厚の変動に伴って変化する。かくして、堆積またはエッチング訓練運転の異なる時間セグメントでPCAを行なって、既知の膜厚に関する参照PCベクトルのセットを構築することができる。異なる時点におけるこれらの参照PCベクトルは、膜厚参照値である。第2のPCベクトル(PC2)の例を図6Bに示す。参照PC2(Ref2)は、80秒の時点で計算され、運転(running) PC2(すなわち、ポリシリコンエッチングプロセス中に計算されるPC2)は、50秒の時点で計算された。x軸はCCDピクセル数または波長に対応し、y軸は各波長に関係するウエイトに対応し、指定の波長で観察された変化を規定する。
【0034】
時間依存または運転PCベクトルは、両ベクトルの内積を実行することによって参照PCベクトルと比較される。2つのベクトルが一致すると、値は1になる。図6C、6Dはそれぞれ、時間の関数としての第1および第2の運転PCベクトルに対する第1および第2の参照PCベクトルの内積を示す。運転ポリシリコンエッチングプロセスの残存膜厚が、参照プロセスのt=80秒における残存膜厚と異なるときは、内積は1にならない。t=80秒のとき、内積は、PC1に対して1.0であり、PC2に対して−1.0になるので(ここに、符号は信号の増加または減少を表す)、一致を示す。既知の時点または膜厚でのいくつかの参照ベクトルを使用し、かつ、内積の計算とピーク検出アルゴリズムを実行して運転PCAをこれらの参照値と比較することによって、図6Eに示すように、時間の関数としての膜厚を監視することが可能になる。図6Eに示すように、それに対する参照主成分が先に生成されたエッチングプロセス中の各時間に対して(時間t=20、40、60、80、100、120および140秒)、本発明の方法は、ステップ66で生成された非参照主成分をその参照主成分と比較することによって、生産プロセス中の前記同一時間を検出できる。
【0035】
本発明の方法を更に試験するために、発明者らは、最大20%のランダムノイズを持つ類似のデータセット上で既知の参照ベクトルを使用することによって、図6A〜Eに関して上記に説明した同一アルゴリズムを実行した。この試験の結果を図7A〜Dに示す。この場合もまた、図7Dに示すように、それに対する参照主成分が生成された各時間に対して、この方法は、生産プロセスから測定された信号にランダムノイズが含まれているにもかかわらず、生産プロセス中の同一時間を検出できたので、本発明の方法の強靭性を証明している。図7B、7Cは、PCAパターン認識技術が、エッチングプロセスが20秒間続いた後で残存する正しい膜厚の確認に成功したことを示している。
【0036】
本発明の少なくとも一実施形態を完全に説明したので、本発明によるプラズマプロセスを制御するその他の同等または代替方法は、当該技術に精通する者には自明であろう。例えば、主としてプラズマエッチングプロセスに関して本発明を説明したが、この発明を使用して、特に標準および高密度プラズマ強化化学気相堆積プロセスの両方を含む、各種の他のプラズマプロセスを監視および制御できる。また、本発明を使用して、処理される基板の表面から反射される、広帯域ランプや類似の光源から生成される放射の波長を測定することによって、非プラズマエッチングおよび堆積プロセス(または、プラズマが遠隔的に形成されるプロセス)も制御できる。更に、上記の本発明の実施形態はすべて、分光計を使って基板から反射される放射を収集したが、各ホトダイオードが異なる波長や波長スペクトルを監視するホトダイオードアレイ等の別の装置を使用できる。これらの同等および代替使用は、理解される明らかな変更および修正案と共に、本発明の範疇に含まれるものとする。
【図面の簡単な説明】
【図1】本発明の方法を実施するために構成された例示的なプラズマエッチングチャンバの簡易断面図である。
【図2】パターン認識のために主成分分析(PCA)技術を用いる、本発明の実施形態による校正プロセスに関連するステップを示すフローチャートである。
【図3】本発明の一実施形態によるプラズマエッチング生産プロセスを制御する方法60のステップを示すフローチャートである。
【図4】ポリシリコンをエッチングプロセス用の時間依存光学発光スペクトルを示す。
【図5】時間の経過による365nmないし525nmのサブセットの放射波長の強さをプロットした線図ある。
【図6A】ポリシリコンエッチングプロセスの先に確認された膜厚の検出に使用される本発明の方法の実例を示す。
【図6B】ポリシリコンエッチングプロセスの先に確認された膜厚の検出に使用される本発明の方法の実例を示す。
【図6C】ポリシリコンエッチングプロセスの先に確認された膜厚の検出に使用される本発明の方法の実例を示す。
【図6D】ポリシリコンエッチングプロセスの先に確認された膜厚の検出に使用される本発明の方法の実例を示す。
【図6E】ポリシリコンエッチングプロセスの先に確認された膜厚の検出に使用される本発明の方法の実例を示す。
【図7A】測定された放射に最大20%のランダムノイズレベルを加えたときに、ポリシリコンエッチングプロセスの先に確認された膜厚の検出のために使用される本発明の方法の実例を示す。
【図7B】測定された放射に最大20%のランダムノイズレベルを加えたときに、ポリシリコンエッチングプロセスの先に確認された膜厚の検出のために使用される本発明の方法の実例を示す。
【図7C】測定された放射に最大20%のランダムノイズレベルを加えたときに、ポリシリコンエッチングプロセスの先に確認された膜厚の検出のために使用される本発明の方法の実例を示す。
【図7D】測定された放射に最大20%のランダムノイズレベルを加えたときに、ポリシリコンエッチングプロセスの先に確認された膜厚の検出のために使用される本発明の方法の実例を示す。
【符号の説明】
10…エッチングチャンバ
12…ハウジング
14…基板処理領域
16…ペデスタル
18…基板
20…窓
22…分光計
24…折畳み式ミラー
26…レンズ
28…光ファイバケーブル
30…データ収集カード
31…メモリ
32…プロセッサ
34…広帯域光源

Claims (16)

  1. 処理チャンバ内で基板の処理の間に膜厚を監視する方法であって、
    前記基板処理チャンバ内に配置される前記基板に対して基板処理動作を実行するステップと、
    前記基板処理動作中に前記基板の上面から反射される放射の複数の波長でスペクトル干渉測定を実行するステップと、
    異なる測定時間に得られた放射の複数の波長で収集されたスペクトルデータを含むデータの時間窓を含む非参照パターンを提供するステップと、
    前記非参照パターンを、以前の基板処理動作中に測定された異なる測定時間に得られた放射の複数の波長で収集されたスペクトルデータを含むデータの時間窓を含む参照パターンと比較するステップとを含み、前記比較のためにパターン認識技術が使用される方法。
  2. 前記パターン認識技術は、主成分分析(principal component analysis)である、請求項1に記載の方法。
  3. 前記基板処理動作は、前記チャンバ内でプラズマを形成し、前記基板の前記上面から反射された前記放射は、前記プラズマから生成される、請求項1に記載の方法。
  4. 前記基板処理動作は、プラズマエッチング動作である、請求項3に記載の方法。
  5. 前記プラズマエッチング動作は、前記参照パターンに対する前記非参照パターンの明確な比較に応じて停止される、請求項に記載の方法。
  6. 前記プラズマエッチング動作のガス流は、前記参照パターンに対する前記非参照パターンの明確な比較に応じて変更される、請求項に記載方法。
  7. 前記基板処理動作は、プラズマ強化CVD動作である、請求項3に記載の方法。
  8. 前記基板の前記上面から反射された前記放射は、広帯域ランプから生成される、請求項1に記載の方法。
  9. 放射の前記複数の波長は、分光計によって測定される、請求項1に記載の方法。
  10. プラズマエッチング動作を制御する方法であって、
    基板処理チャンバ内にプラズマを形成して、チャンバ内に配置されたウェハをエッチングするステップと、
    エッチングされている前記ウェハの上面から反射される放射の複数の波長分光計を使ってスペクトル干渉測定を実行するステップと、
    異なる測定時間に得られた放射の複数の波長で収集されたスペクトルデータを含むデータの時間窓を含む非参照パターンを提供するステップと、
    前記非参照パターンを、以前の基板処理動作中に測定された異なる測定時間に得られた放射の複数の波長で収集されたスペクトルデータを含むデータの時間窓を含む参照パターンと比較するステップとを含み、前記比較のためにパターン認識技術が使用される方法。
  11. 前記パターン認識技術は、主成分分析である、請求項10に記載の方法。
  12. 前記プラズマエッチング動作は、前記参照パターンに対する前記非参照パターンの明確な比較に応じて停止される、請求項10に記載の方法。
  13. 前記プラズマエッチング動作のガス流は、前記参照パターンに対する前記非参照パターンの明確な比較に応じて変更される、請求項10に記載の方法。
  14. 基板を処理する装置であって、
    前記装置の動作を制御するコンピュータプロセッサと、
    基板処理チャンバとを備え
    前記基板処理チャンバの前記基板処理動作は基板処理チャンバ内でプラズマを形成し、前記プラズマの放射は前記基板の前記上面から反射される放射を生成する広帯域光源を形成し、
    前記装置は、前記基板処理チャンバに作動的に連結されて、前記基板処理動作中に前記基板の上面から反射される放射を測定する放射コレクタであって、複数の異なる放射波長からの反射される放射を測定できる放射コレクタと、
    前記コンピュータプロセッサに連結されるメモリであって、前記基板処理チャンバ内に配置された前記基板に対して基板処理動作を実行するよう前記装置を制御するコンピュータ命令を含む、コンピュータ読取り可能フォーマットのコンピュータプログラムを格納するメモリとを更に備え、前記コンピュータプログラムは、請求項1〜9のいずれか1項記載の方法を実行するように前記装置を制御するコンピュータ命令を更に含む装置。
  15. 前記放射コレクタは分光計である、請求項14に記載の装置。
  16. 前記基板処理チャンバは、プラズマエッチングチャンバである、請求項14に記載の装置。
JP2000392867A 1999-12-23 2000-12-25 スペクトル干渉法を用いる膜厚制御 Expired - Fee Related JP4925507B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/470828 1999-12-23
US09/470,828 US6413867B1 (en) 1999-12-23 1999-12-23 Film thickness control using spectral interferometry

Publications (2)

Publication Number Publication Date
JP2001244254A JP2001244254A (ja) 2001-09-07
JP4925507B2 true JP4925507B2 (ja) 2012-04-25

Family

ID=23869222

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000392867A Expired - Fee Related JP4925507B2 (ja) 1999-12-23 2000-12-25 スペクトル干渉法を用いる膜厚制御

Country Status (6)

Country Link
US (2) US6413867B1 (ja)
EP (1) EP1111356B1 (ja)
JP (1) JP4925507B2 (ja)
KR (1) KR100748288B1 (ja)
DE (1) DE60040719D1 (ja)
TW (1) TW516075B (ja)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7037403B1 (en) * 1992-12-28 2006-05-02 Applied Materials Inc. In-situ real-time monitoring technique and apparatus for detection of thin films during chemical/mechanical polishing planarization
US6614529B1 (en) * 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US7177019B2 (en) * 1999-02-01 2007-02-13 Tokyo Electron Limited Apparatus for imaging metrology
US7042580B1 (en) * 1999-02-01 2006-05-09 Tokyo Electron Limited Apparatus for imaging metrology
SE514718C2 (sv) * 1999-06-29 2001-04-09 Jan Otto Solem Anordning för behandling av bristande tillslutningsförmåga hos mitralisklaffapparaten
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
AU2001267913A1 (en) * 2000-07-04 2002-01-14 Tokyo Electron Limited Operation monitoring method for treatment apparatus
US6936842B2 (en) * 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6888639B2 (en) * 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
JP3686866B2 (ja) * 2001-12-18 2005-08-24 株式会社日立製作所 半導体製造装置及び製造方法
US6960416B2 (en) * 2002-03-01 2005-11-01 Applied Materials, Inc. Method and apparatus for controlling etch processes during fabrication of semiconductor devices
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US6943053B2 (en) * 2002-03-20 2005-09-13 Applied Materials, Inc. System, method and medium for modeling, monitoring and/or controlling plasma based semiconductor manufacturing processes
US20030209518A1 (en) * 2002-05-08 2003-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of detecting abnormal chamber conditions in etcher
WO2003102502A1 (de) * 2002-05-29 2003-12-11 Infineon Technologies Ag Verfahren und vorrichtung zum ermitteln einer schichtdicke einer auf einem träger aufgebrachten schicht, sowie überwachungssystem
DE10228811A1 (de) * 2002-06-27 2004-01-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung zum Erfassen einer Belagsänderung, Vorrichtung zum Erfassen eines Reinigungsfortschritts in einer zu reinigenden Anlage und Verfahren zum Steuern eines Reinigungsvorgangs von Belägen auf einem Arbeitsteil
US6686270B1 (en) * 2002-08-05 2004-02-03 Advanced Micro Devices, Inc. Dual damascene trench depth monitoring
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
TWI303090B (en) * 2002-08-13 2008-11-11 Lam Res Corp Method for in-situ monitoring of patterned substrate processing using reflectometry
US20040040658A1 (en) * 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US6842661B2 (en) * 2002-09-30 2005-01-11 Advanced Micro Devices, Inc. Process control at an interconnect level
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US7328830B2 (en) 2002-12-20 2008-02-12 Agere Systems Inc. Structure and method for bonding to copper interconnect structures
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6904384B2 (en) * 2003-04-03 2005-06-07 Powerchip Semiconductor Corp. Complex multivariate analysis system and method
US20050020073A1 (en) * 2003-07-22 2005-01-27 Lam Research Corporation Method and system for electronic spatial filtering of spectral reflectometer optical signals
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US6911399B2 (en) * 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
DE102004018454A1 (de) * 2004-04-16 2005-11-03 Infineon Technologies Ag Verfahren und Vorrichtung zum Überwachen des Ätzvorgangs einer regelmässigen Tiefenstruktur in einem Halbleitersubstrat
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
US7363195B2 (en) * 2004-07-07 2008-04-22 Sensarray Corporation Methods of configuring a sensor network
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7393459B2 (en) * 2004-08-06 2008-07-01 Applied Materials, Inc. Method for automatic determination of substrates states in plasma processing chambers
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US20060154388A1 (en) * 2005-01-08 2006-07-13 Richard Lewington Integrated metrology chamber for transparent substrates
US7851368B2 (en) * 2005-06-28 2010-12-14 Lam Research Corporation Methods and apparatus for igniting a low pressure plasma
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US7962113B2 (en) * 2005-10-31 2011-06-14 Silicon Laboratories Inc. Receiver with multi-tone wideband I/Q mismatch calibration and method therefor
JP4833687B2 (ja) * 2006-02-27 2011-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US20070249071A1 (en) * 2006-04-21 2007-10-25 Lei Lian Neural Network Methods and Apparatuses for Monitoring Substrate Processing
KR100769566B1 (ko) * 2006-05-24 2007-10-23 중앙대학교 산학협력단 신경망을 이용한 박막 두께 측정 방법, 장치 및 이를 위한기록매체
US7469164B2 (en) * 2006-06-26 2008-12-23 Nanometrics Incorporated Method and apparatus for process control with in-die metrology
US20080029484A1 (en) * 2006-07-25 2008-02-07 Applied Materials, Inc. In-situ process diagnostics of in-film aluminum during plasma deposition
DE102006035596B4 (de) * 2006-07-27 2008-04-30 Qimonda Ag Verfahren und Anordnung zur Durchführung eines Ätz- oder Reinigungsschrittes
US20080063810A1 (en) * 2006-08-23 2008-03-13 Applied Materials, Inc. In-situ process state monitoring of chamber
US7774082B2 (en) * 2006-10-05 2010-08-10 Tokyo Electron Limited Substrate processing method and storage medium having program stored therein
US8017029B2 (en) 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8184288B2 (en) 2006-11-29 2012-05-22 Macronix International Co., Ltd. Method of depositing a silicon-containing material by utilizing a multi-step fill-in process in a deposition machine
US8520194B2 (en) 2006-11-29 2013-08-27 Macronix International Co., Ltd. Method of forming a deposited material by utilizing a multi-step deposition/etch/deposition (D/E/D) process
DE102007009901B4 (de) * 2007-02-28 2011-07-07 Globalfoundries Inc. Technik zum Strukturieren unterschiedlich verspannter Schichten, die über Transistoren ausgebildet sind, durch verbesserte Ätzsteuerungsstrategien
US7813895B2 (en) * 2007-07-27 2010-10-12 Applied Materials, Inc. Methods for plasma matching between different chambers and plasma stability monitoring and control
US7812966B2 (en) * 2007-08-30 2010-10-12 Infineon Technologies Ag Method of determining the depth profile of a surface structure and system for determining the depth profile of a surface structure
US20090065478A1 (en) * 2007-09-11 2009-03-12 Dockery Kevin P Measuring etching rates using low coherence interferometry
GB0811991D0 (en) * 2008-07-01 2008-08-06 Scalar Technologies Ltd Authentication apparatus and methods
US8101906B2 (en) 2008-10-08 2012-01-24 Applied Materials, Inc. Method and apparatus for calibrating optical path degradation useful for decoupled plasma nitridation chambers
CN101834128B (zh) * 2009-03-12 2012-03-21 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
JP5862433B2 (ja) 2012-04-09 2016-02-16 株式会社島津製作所 表面処理状況モニタリング装置
JP5888111B2 (ja) 2012-05-18 2016-03-16 株式会社島津製作所 エッチングモニタ装置
JP2014002068A (ja) 2012-06-19 2014-01-09 Shimadzu Corp 厚みモニタリング装置、エッチング深さモニタリング装置および厚みモニタリング方法
JP6050491B2 (ja) * 2013-06-21 2016-12-21 ルネサスエレクトロニクス株式会社 ドライエッチング方法および半導体装置の製造方法
JP6132688B2 (ja) * 2013-07-18 2017-05-24 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US10192763B2 (en) * 2015-10-05 2019-01-29 Applied Materials, Inc. Methodology for chamber performance matching for semiconductor equipment
US10861755B2 (en) * 2017-02-08 2020-12-08 Verity Instruments, Inc. System and method for measurement of complex structures
CN109631764B (zh) * 2018-11-22 2020-12-04 南京理工大学 基于RealSense相机的尺寸测量系统及方法
CN111834289B (zh) * 2019-04-16 2022-10-28 中电海康集团有限公司 一种金属铝的刻蚀方法
CN111081584B (zh) * 2019-12-30 2022-07-19 中国科学院电子学研究所 基于光谱仪的离子刻蚀终点检测装置及应用其的刻蚀系统
CN114521287A (zh) * 2020-09-17 2022-05-20 株式会社日立高新技术 等离子处理装置以及等离子处理方法
CN112461366B (zh) * 2020-12-16 2021-12-21 四川长虹电器股份有限公司 一种基于随机滤波器阵列的近红外光谱仪实现方法
WO2022180840A1 (ja) * 2021-02-26 2022-09-01 日本電信電話株式会社 光集積回路の製造システムおよび製造方法
JPWO2022180832A1 (ja) * 2021-02-26 2022-09-01
JPWO2022180838A1 (ja) * 2021-02-26 2022-09-01
WO2022180839A1 (ja) * 2021-02-26 2022-09-01 日本電信電話株式会社 光導波路デバイスの製造方法および製造システム
WO2024054380A1 (en) * 2022-09-08 2024-03-14 Lam Research Corporation Multi-sensor determination of a state of semiconductor equipment

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4602981A (en) * 1985-05-06 1986-07-29 International Business Machines Corporation Monitoring technique for plasma etching
JPH0427120A (ja) * 1990-05-23 1992-01-30 Hitachi Ltd 終点検出方法および装置
JPH05197811A (ja) * 1992-01-22 1993-08-06 Mitsubishi Heavy Ind Ltd パターン認識装置
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5737496A (en) * 1993-11-17 1998-04-07 Lucent Technologies Inc. Active neural network control of wafer attributes in a plasma etch process
DE69510032T2 (de) * 1995-03-31 2000-01-27 Ibm Verfahren und Gerät zur Überwachung des Trockenätzens eines dielektrischen Films bis zu einer gegebenen Dicke
US5738756A (en) 1995-06-30 1998-04-14 Lam Research Corporation Method and apparatus for detecting optimal endpoints in plasma etch processes
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US5846373A (en) * 1996-06-28 1998-12-08 Lam Research Corporation Method for monitoring process endpoints in a plasma chamber and a process monitoring arrangement in a plasma chamber
US6018334A (en) 1997-02-20 2000-01-25 Eckerberg; Mark Computer pointing device
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6148239A (en) 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. Process control system using feed forward control threads based on material groups
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6136712A (en) * 1998-09-30 2000-10-24 Lam Research Corporation Method and apparatus for improving accuracy of plasma etching process
US6052176A (en) 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
US6160621A (en) * 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control

Also Published As

Publication number Publication date
TW516075B (en) 2003-01-01
US20020119660A1 (en) 2002-08-29
EP1111356B1 (en) 2008-11-05
US6413867B1 (en) 2002-07-02
US6589869B2 (en) 2003-07-08
JP2001244254A (ja) 2001-09-07
EP1111356A3 (en) 2004-06-09
DE60040719D1 (de) 2008-12-18
EP1111356A2 (en) 2001-06-27
KR20010070338A (ko) 2001-07-25
KR100748288B1 (ko) 2007-08-09

Similar Documents

Publication Publication Date Title
JP4925507B2 (ja) スペクトル干渉法を用いる膜厚制御
EP1218689B1 (en) Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
JP4833396B2 (ja) 主成分分析を用いてプロセスをモニタするための方法
JP5441332B2 (ja) フォトマスクエッチングのための終点検出
US8092695B2 (en) Endpoint detection for photomask etching
JP3429137B2 (ja) トレンチ形成プロセスのリアルタイム現場監視のための方法
US6673200B1 (en) Method of reducing process plasma damage using optical spectroscopy
US20070249071A1 (en) Neural Network Methods and Apparatuses for Monitoring Substrate Processing
US20050264806A1 (en) Calibration as well as measurement on the same workpiece during fabrication
KR20030000274A (ko) 반도체 제조공정에서 실시간 플라즈마 측정과 박막분석을위한 다채널 분광분석기
JP2001093885A (ja) エッチング監視装置
US6855567B1 (en) Etch endpoint detection
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
Davies et al. Real-time, in-situ measurement of film thickness and uniformity during plasma ashing of photoresist
JPH04280650A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071225

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100618

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20100708

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110209

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110222

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110309

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110314

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110408

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110413

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110509

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120110

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120207

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees