JP4890503B2 - デルタシグマ変調器 - Google Patents

デルタシグマ変調器 Download PDF

Info

Publication number
JP4890503B2
JP4890503B2 JP2008158251A JP2008158251A JP4890503B2 JP 4890503 B2 JP4890503 B2 JP 4890503B2 JP 2008158251 A JP2008158251 A JP 2008158251A JP 2008158251 A JP2008158251 A JP 2008158251A JP 4890503 B2 JP4890503 B2 JP 4890503B2
Authority
JP
Japan
Prior art keywords
output
signal
delta
loop filter
sigma modulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008158251A
Other languages
English (en)
Other versions
JP2009303157A (ja
Inventor
浩一 浜下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Asahi Kasei EMD Corp
Original Assignee
Asahi Kasei EMD Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Kasei EMD Corp filed Critical Asahi Kasei EMD Corp
Priority to JP2008158251A priority Critical patent/JP4890503B2/ja
Priority to US12/483,709 priority patent/US7936293B2/en
Publication of JP2009303157A publication Critical patent/JP2009303157A/ja
Application granted granted Critical
Publication of JP4890503B2 publication Critical patent/JP4890503B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03MCODING; DECODING; CODE CONVERSION IN GENERAL
    • H03M3/00Conversion of analogue values to or from differential modulation
    • H03M3/30Delta-sigma modulation
    • H03M3/39Structural details of delta-sigma modulators, e.g. incremental delta-sigma modulators
    • H03M3/412Structural details of delta-sigma modulators, e.g. incremental delta-sigma modulators characterised by the number of quantisers and their type and resolution
    • H03M3/42Structural details of delta-sigma modulators, e.g. incremental delta-sigma modulators characterised by the number of quantisers and their type and resolution having multiple quantisers arranged in parallel loops

Description

本発明は、デルタシグマ変調器に関し、特に入力信号を信号レベルに応じた1bitのPDM(Pulse Density Modulation)信号に変換出力する1bit出力型のデルタシグマ変調器に関するものである。
当初、デルタシグマ変調は、音声通信分野におけるデルタ変調がDC成分を扱えないのに対し、DC成分も扱える効率的な変調技術として、すなわちアナログ信号を1bitのPDM信号に変換できる技術として1960年代に提案されたが、当時のLSI技術では信号帯域やS/N比等の観点から十分には普及しなかった(例えば、非特許文献1参照)。
次いで1980年代後半には、デジタルフィルタは、LSI製造プロセスの微細化により実用的コストでLSI化可能になると共に、音声通信やデジタルオーディオ分野でのA/D変換及びD/A変換技術として登場してきた。但し、当時の学会及び業界の常識としては、電話音声用途(4kHz帯域、S/N比=70dB〜80dB)は可能だが、HiFiオーディオ用途(22kHz帯域によりS/N比=90〜96dB)でのA/DコンバータやD/Aコンバータを低コストで実現することは不可能に近いと思われていた。というのも、デルタシグマ変調技術は、目的とする信号帯域(SBW:Signal Band Width)より十分に高いサンプリングレートにより小bit数のデジタル信号にA/D変換すると同時に、この小bit数変換により発生する量子化ノイズQnの、目的とする信号帯域(SBW)内分布を抑制して、目的帯域外へ分布させるいわゆるオーバーサンプリングノイズシェーピング技術であるため、信号帯域内の量子化ノイズを−100dB程度以下に抑え込む技術が難しかったからである。
これを実現するには、オーバーサンプリング比を十分高くするか、ノイズシェーピング効果を高めるためのループフィルタ次数を高次化するという手段が考えられるが、2次を超えた3次以上のループフィルタではフィードバック系を構成するデルタシグマ変調は、系が発振してしまい実現不可能と考えられていた。また、当時のLSI製造技術は、アナログ用途では高ダイナミックレンジを必要とする為に±5V電源にて動作可能なゲート長3μmプロセスが主流で高速性に乏しく、デジタル用途では高速性を目指したゲート長1μmプロセスが存在したが電源耐圧は5V単電源でダイナミックレンジが低く十分なアナログ精度を得られない状況であった。従って、安定な2次でループを組めば、オーバーサンプリング比を極端に高くせねばならず、当時のLSI動作速度限界を超えるという課題があった。このような課題に対して、1980年代後半の解決策としては、大別して3種の潮流があった。
第1の潮流としては、ループ次数を安定な2次に限定し、オーバーサンプリング比を256倍まで上げて、S/N比向上を狙う手法であり、代表例としてはD/Aコンバータにより実現された(例えば、非特許文献2参照)。
但し、本手法はD/A変換であり、デルタシグマ変調器はデジタル回路により実現されるものであり、当時のLSI製造技術から考えればA/D変換には不向きであった。なぜなら、A/D変換ではデルタシグマ変調器をスイッチトキャパシタ回路等のアナログ回路で実現せねばならず、熱雑音やkT/Cノイズ等のアナログノイズを十分低く抑制させつつ256倍オーバーサンプリング動作させることは当時のプロセスでは難しく、後述のより低いオーバーサンプリング比技術の出現により衰退していった。
第2の潮流としては、いわゆるMASH方式(Multi−Stage Noise Shaping)であり、複数個の1次デルタシグマ変調を縦続接続することで、安定かつ等価的に高次のデルタシグマ変調を実現することにより、オーバーサンプリング比を64倍に低減させた(例えば、非特許文献3参照)。
但し、本方式においては、複数個の1bitデルタシグマ変調出力を論理合成する必要がある。即ち、MASH変調方式としてアナログ回路により実施した変調器の各出力を合成して所望のノイズシェーピング特性を得るための補正演算回路、いわゆるノイズキャンセル演算回路が必要であり、このノイズキャンセル演算回路により最終出力は、多bit数で表現されるPCM信号(Pulse Code Modulation)となる。このノイズキャンセル演算回路からのPCM出力信号は、上記変調器により使用された高サンプリングレート、例えば64倍オーバーサンプリングの場合には64Fsの信号であり、後段に配置するデジタルデシメーションフィルタにより最終的に1Fs=48kHzの16bit_PCM信号に変換出力される。
また、本方式は一次フィードバック系の合成であるためにループ安定性は確保できるが、十分なオーディオ用アナログ特性を得ることは難しく、長らく電話用音声帯域のみで使用されてきた。何故ならば、アナログ回路により構成された係数が製造バラツキの影響を受けるのに対し、後段のノイズキャンセル演算回路がデジタル演算であるために前段のアナログ回路における実際の係数と一致せず、ミスマッチ因子として残りA/D変換の線形性を損なってしまうからである。即ち、高調波歪やフロアノイズの上昇となり、良質な音楽信号用のA/D変換としては不十分であった。
第3の潮流としては、3次以上の次数を有する高次シングルループデルタシグマ変調器において、高次での不安定性を解決して高S/N比をより低いオーバーサンプリング比で実現させる試みである(例えば、非特許文献4,5,6参照)。
本方式は、1bitのPDM信号を出力する、いわゆるシングルループ型高次デルタシグマ変調方式において、高次ループフィルタの構成を工夫することにより安定な解を得たものであり、例えば非特許文献4では4次1bitにより64倍オーバーサンプリングを実現し、オーディオ帯域においてS/N比96dBの性能を実現している。さらに非特許文献5では、5次化することによりS/N比110dBを実現し、非特許文献6では、4次1bit方式のA/Dコンバータと同じく4次1bit方式のD/Aコンバータを内蔵したCODECが開示されている。オーバーサンプリング比は64倍に抑えられており、当事のLSI製造技術でも、十分に安価で低消費電力のA/DコンバータもしくはD/Aコンバータが実現され、スタジオ録音用プロ機器から家庭用オーディオ、ポータブル録再器に至るまでの多種多様なデジタルオーディオ機器における主流技術として発展した。
本方式では、シングルループの特性として、アナログ変調器出力が1bitのみであり、このアナログ変調器内でのフィードバックが正もしくは負の基準電位のみである。従って、1bitのPDM信号は、64倍オーバーサンプリングされた正のフルスケールもしくは負のフルスケールを表現し、この信号を後段の1/64デシメーション用デジタルフィルタによりFs=48kHz,16bitのPCM信号に変換するものである。本方式では、上記MASH方式のようなアナログ部の係数を逆変換するためのノイズキャンセル演算回路は不要であり、従って製造バラツキの影響も受けず、本質的にリニアなA/D変換特性を得ることができる。
但し、上記の高次シングルループのデルタシグマ変調器を安定なフィードバックループとして動作させるには、2つの重要な要素がある。本手法については、例えば非特許文献5のページ2において詳細に記載されており、第1の要素は、非特許文献5のFig.2における各積分器出力から1bit量子化器へのフィードフォワード係数a1〜a5の選択方法であり、第2の要素は、入力信号範囲をデルタシグマ変調器のフルスケール範囲より狭く設定しつつ、この入力ゲインの補正を後段のデジタルフィルタにより実施するゲインスケーリング手法である(特許文献1参照)。
特許文献1における実施形態は、音声帯域用の2次シングルループ構成のため、従来は発振しない安定な系と考えられていたが、現実には過大入力時にループフィルタを構成する積分器出力が大きく振れる過負荷現象が発生してS/N比の劣化や大きな高調波歪の発生、場合によっては発振も発生しうる。具体的には、特許文献1のFig.2に開示されているように、デルタシグマ変調器のフィードバック値を基準とした正/負フルスケール値を±1とした場合、入力範囲が±0.8までの範囲ならば十分なS/N比が得られるが、±0.8以上の大信号入力時には帯域内ノイズが上昇してS/N比が劣化する。従って、入力範囲をデルタシグマ変調器のフルスケール範囲の80%以内で使用するように限定させるべく、入力ゲインを0.8倍としている。これは、逆に入力フルスケールを基準として見た場合には、デルタシグマ変調器のフィードバック値、即ちフィードバックゲイン=1/0.8=1.25であることを意味しており、特許文献1のFig.3では、アナログ入力信号のフルスケールを±3Vとした場合にデルタシグマ変調器のフィードバック値は1.25倍の±3.75Vとした実施形態として記述されている。従って、この実施形態においては、1bit量子化器からの出力は、正のフルスケール値+1と負のフルスケール値−1を1bitデータで表現し、+1を論理1、−1を論理0で表現して出力するため、入力信号レベルが正側に大きくなれば1の比率が多くなり、入力信号レベルが負側に大きくなれば0の比率が多くなる、いわゆる1bitのPDM信号(パルス密度変調)として出力される。しかし、上記のゲインスケーリングの結果、出力PDM信号のduty比は0%〜100%にはならず、0.8倍の10%〜90%にゲインスケーリングされた結果となる。すなわち、アナログ入力が正フルスケールの場合には50%+0.8×50%=90%のdutyであり、アナログ入力が負フルスケールの場合には50%−0.8×50%=10%のdutyとなる。
本来A/D変換器とは、予め定められた±フルスケール範囲内の入力アナログ信号を正確な0dBゲインによりデジタル信号に変換するものであるため、特許文献1は、上記0.8倍の入力ゲインを補正するために、後段のデジタルフィルタにより1bit PDM信号から高域ノイズを削除しつつ、16bit PCM信号へ演算処理する際に上記入力ゲインの逆数=1.25倍のゲインを乗じて、デジタルフィルタを含めたA/D変換器全体としてのゲインを0dBに保つ手法を提案したものである。本手法は、A/Dコンバータの最終出力がデジタルデシメーションフィルタ後の16bitのPCM信号を期待されている場合には有効である。
一方、非特許文献4〜6に示したデルタシグマ変調器は、電話用音声帯域より高性能を必要とするデジタル音楽用途であり、4次もしくは5次という高次デルタシグマ変調を安定かつ過負荷が発生しないように実現することを目的とする。従って、上記特許文献1の2次デルタシグマよりもさらに大きなゲインスケーリングを必要とする。具体的な数値は各文献には記載されていないが、入力ゲインとしては2次の0.8倍よりさらに小さな値、例えば、0.5倍程度が適切であり、この入力ゲインの補正は後段のデジタルフィルタにより実施されるため、デジタルフィルタを含めたA/Dコンバータ全体としては正しく0dBゲインが実現されている。
尚、上記入力ゲイン0.5倍は、非特許文献4〜6に示すスイッチトキャパシタ回路(SC回路)を用いて容易に実現可能である。例えばアナログ入力信号のフルスケールを±3Vとした場合には、入力信号をサンプリングするSC回路のキャパシタ値Csに対して、フルスケールフィードバック用SC回路のキャパシタ値CfをCf=2Csと設定し、フルスケールフィードドバック用基準電位を入力フルスケールに等しい±3Vに設定することで可能である。即ち、両SC回路にて転送される電荷量は、入力フルスケールに対してはQs=±3V・Csであり、フルスケールフィードバックに対してはQf=±3V・Cf=±3V・2Csであり、入力フルスケールの2倍の電荷量をフィードバック可能な入力ゲイン=0.5倍のデルタシグマ変調器となる。
以上のゲインスケーリング手法をまとめると、デルタシグマ変調器において、入力信号に対して所定の0dB未満の固定された入力ゲイン値を乗算してループ安定性を維持し、後段のデジタルフィルタによりこの入力ゲイン値の逆数たる固定されたフィルタゲインを乗ずるものである。また、D/Aコンバータにおいては、デジタルデルタシグマ変調器により固定入力ゲインを設定し、後段のアナログ1bitD/A変換もしくはアナログポストフィルタにより必要な補正ゲインを乗ずるものである。但し、このようなゲインスケーリングは、安定な高次デルタシグマ型ADCやDACは得られるものの、アナログ性能対消費電力の観点からは欠点でもあった。例えばADCにおいては、S/N比は上記量子化ノイズ以外に、オペアンプやSC回路に起因する熱雑音により大きく劣化される。例えばSC回路による熱雑音は使用するキャパシタ容量値Cを使用してkT/C(kはボルツマン常数、Tは使用温度)で計算可能であり、S/N比を3dB向上するには2倍のキャパシタ値が必要であり、これを駆動する電流は2倍になる。従って、上記高次デルタシグマにより−0.5倍=−6dBの入力ゲインスケーリングを実施することは、アナログノイズ的にはS/N比6dB相当の劣化に相当し、これに対抗するためには、キャパシタ値と駆動電流を共に4倍にする必要があった。
H.Inose, Y.Yasuda and J.Murakami, "A telemetering system by code modulation- Δ-Σ modulation", IRE Trans. Space Electron. Telemetry, vol.8, pp.204-209, Sept. 1962 P. J. A. Naus, 他 "A CMOS Streo 16-bit D/A Converter for Digital Audio", IEEE J. of Solid-State Circuits, Vol.SC-22, PP.390-394, June 1987 Y. Matsuya, 他 "A 16-bit Oversampling A-to-D Conversion Technology Using TripleIntegration Noise Shaping", IEEE J. of Solid-State Circuits, Vol.SC-22, No.6, pp.921-929, December 1987 D.R. Welland, K.Hamashita,他 "A Stereo 16bit Delta-Sigma A/D Converter for Digital Audio", J. Audio Eng. Soc., Vol.37, No.6, pp.476-486, June 1989 I. Fujimori, K.Hamashita,他 "A Fifth-Order Delta-Sigma Modulator with 110dB Audio-Band Dynamic Range" Audio Eng. Soc. 93rd Convention, 3415(L-1), October 1992 K. Hamshita, E.J. Swanson "A Single-chip Stereo Audio CODEC" IEEE CICC'93, S28.4, May 1993 Navdeep Sooch, “Gain Scaling of Oversampled Analog to Digital Converters”, USP 4,851,841, filed Oct.1987
デジタルオーディオ機器におけるA/Dコンバータの最大用途は、マイク入力される音声や音楽信号をA/D変換してデジタルメディアに渡すことにある。但し、音源からの空気振動をアナログ電気信号に変換するマイク素子そのものの出力レベルは、非常に微少であり、電気的増幅器を併用しても、マイクからのアナログ出力信号レベルは、高々数十mV程度である。一方、十分なダイナミックレンジとしてS/N比90dBを有するA/Dコンバータの入力フルスケールレベルは通常数V程度であり、マイクとADCの間には10dB〜40dB程度の増幅器が必要である。また、マイクと音源との距離は通常一定ではなく、最適録音のためにも、上記増幅器は状況に応じてゲイン値を変更できる可変ゲイン増幅器の使用が一般的である。ここで最も問題となるのは、マイクから可変増幅器及びA/Dコンバータまで及ぶアナログ配線に載る外乱ノイズである。通常は、マイクは人間や楽器等の音源近くに配置され、可変増幅器及びA/Dコンバータは録音機等のデジタルオーディオ機器内に配置され、これらの間をアナログ配線で信号転送している。従って、このアナログ配線に外乱ノイズが載れば、後段の可変増幅器により外乱ノイズまで増幅されてA/Dコンバータに入力され、多大なる音質劣化を引き起こす。以上の構成はいわゆるアナログマイクとも呼ばれ、上記アナログ信号ラインのノイズ対策が重要であった。
これに対し、近年では、上記可変ゲイン増幅器とA/Dコンバータそのものを内蔵し、装置への伝送をデジタル信号にした、いわゆるデジタルマイクが普及してきた。この場合には、信号転送がデジタル化されて外乱ノイズの影響を大幅に排除可能だが、デジタルインターフェースとして、データとクロック及び制御信号等も送受信するために、信号本数が増加するために高価なシステムとなる。また、多様なマイクと録音装置間のインターフェース規格も統一せねばならず、課題が多かった。この流れにおいて最も効果的な例としては、PC分野で一般的になってきたUSB規格の採用である。即ち、A/DコンバータとUSBインターフェース機能をマイク側に内蔵させた、いわゆるUSBマイクであり、USBインターフェースを有するPCやゲーム機器へ接続可能である。しかしながら、USBインターフェースはデジタル回路規模も大きく未だに高価であり、消費電力も大きいため、一般用途に広く普及させることは難しい。
以上の背景より、新たな規格化の動きとして、シングルループ型デルタシグマ変調器の特性を利用した、いわゆるPDMマイクが新規に検討されてきた。即ち、アナログ信号を1bit PDM信号に変換するデルタシグマ変調器までをマイク側に取込み、この1bit PDM信号出力をそのまま1本のデジタル信号として録音装置側へデジタル信号線で転送する手法である。これが可能となれば、アナログ特性はデジタル信号線への外乱ノイズの影響も受けず、インターフェースも単純なロジックのバッファのみであり、安価で低消費電力なシステムを提供可能となる。このPDMマイクから出力された1bitPDM信号は装置側においては、従来のデシメーション用デジタルフィルタにより簡単に1Fs=48kHzの16bitPCM信号に変換可能である。
但し、ここで必要なのは、オーバーサンプリング比の規格化である。各種マイクによりオーバーサンプリング比が64倍〜256倍と変化されては、デシメーションフィルタの演算そのものが正しく実行できない。また、1bit転送とはいえ、可能な限り低消費電力なシステム構成を目指すためには、低い転送レートが望ましく、可能な限り低いオーバーサンプリング比が選択されるべきである。しかしながら、上述の従来技術で明らかなように、オーディオ用途(SBW=22kHz,S/N=90dB以上)においてオーバーサンプリング比64倍の1bitPDM信号を出力可能にするには、4次程度の高次シングルループデルタシグマ変調器が必要であり、従って−6dB程度のゲインスケーリングが必須となり、1bitPDM信号の出力dutyは50%程度に制限され、システム全体の効率、即ちダイナッミクレンジやS/N比、および消費電力等の観点からの効率が悪い結果となる。一方、ゲインスケーリングを回避するためには、2次のデルタシグマ変調となるが、オーバーサンプリング比は256倍と高く、やはり非効率である。
そこで、本発明は、上記の点に鑑み、64倍程度の低オーバーサンプリング比の1bit PDM信号を、90%以上の高いduty比により出力可能であり、安定かつ微少信号再現性の良いデルタシグマ変調器を提供することを目的とする。
上記課題を解決するために本発明のデルタシグマ変調器は、アナログ入力信号を受ける2次以上の高次ループフィルタと、上記高次ループフィルタの出力がアナログ基準電位に対して正もしくは負のいずれであるかを判定するための第1の1bit量子化器と、上記第1の1bit量子化器からの第1の出力信号Y1を入力アナログ信号の負もしくは正のフルスケールレベルへD/A変換して上記高次ループフィルタの入力段へフィードバックする第1のフィードバック手段と、上記高次ループフィルタを構成する複数の内部ステージより監視すべき所定の内部ステージを選択し、上記監視すべき内部ステージの出力絶対値があらかじめ定められた判定基準値以上になったことを極性も含めて判定するための第2の1.5bit型量子化器と、上記第2の1.5bit型量子化器からの第2の出力信号Y2を入力アナログ信号の負もしくは正のフルスケールレベルのm倍へD/A変換して上記高次ループフィルタの入力段へフィードバックする第2のダイナミックフィードバック手段と、上記第1の出力信号Y1(+1,−1)と第2の出力信号Y2(+m,0,−m)を演算して1bitのPDM信号Yを生成出力する演算器とを備えることを特徴とする。
また、本発明のデルタシグマ変調器は、上記高次ループフィルタが2個以上の積分器を縦続接続されて構成された高次積分器であり、上記複数個の積分器出力が上記高次ループフィルタを構成する複数の内部ステージに対応するものとしてもよい。
また、本発明のデルタシグマ変調器は、上記高次ループフィルタが2個以上の積分器を縦続接続されて構成されたn次積分器と上記n個の積分器出力を所定の比率a1〜anにより加算して上記第1の1bit量子化器に入力する構成であり、上記n個の積分器出力が上記高次ループフィルタを構成するn個の内部ステージに対応するものとしてもよい。
また、本発明のデルタシグマ変調器は、上記高次ループフィルタが複数のオペアンプにより構成される低域通過特性、もしくはバンドパス特性、もしくは高域通過特性を有することを特徴とし、上記複数のオペアンプ出力が上記高次ループフィルタを構成する複数の内部ステージに対応するものとしてもよい。
また、本発明のデルタシグマ変調器は、上記第2の1.5bit型量子化器が2個のコンパレータ機能により構成されるウィンドウコンパレータであり、上記高次ループフィルタがシングルエンド回路構成の場合には、上記監視すべき内部ステージの出力は上記アナログ基準電位を基準としたシングルエンドの信号であり、上記判定基準値は前記アナログ基準電位を基準とした正判定基準値+Vthと負判定基準値−Vthであり、上記第2の1.5bit型量子化器が監視する内部ステージ出力レベルが+Vth以上ならば+mを、−Vth以下ならば−mを、+Vthと−Vthの間であれば0(ゼロ)を、第2の出力信号Y2として出力するものとしてもよい。
また、本発明のデルタシグマ変調器は、上記第2の1.5bit型量子化器が2個のコンパレータ機能により構成されるウィンドウコンパレータであり、上記高次ループフィルタが全差動回路構成の場合には、上記監視すべき内部ステージの出力は0電位を基準とした全差動信号であり、上記判定基準値は上記0電位を基準とした正判定基準値+Vthと負判定基準値−Vthであり、上記第2の1.5bit型量子化器が監視する内部ステージ出力レベルが+Vth以上ならば+mを、−Vth以下ならば−mを、+Vthと−Vthの間であれば0(ゼロ)を、第2の出力信号Y2として出力するものとしてもよい。
また、本発明のデルタシグマ変調器は、上記高次ループフィルタにおける上記第2の1.5bit型量子化器が監視する内部ステージがループ安定性もしくは過負荷回避のために最も有効な内部ステージであることを特徴とし、単一の内部ステージのみか、もしくは複数の内部ステージ出力を所定の比率で加算した結果のいずれかであるものとしてもよい。
また、本発明のデルタシグマ変調器は、上記第1の出力信号Y1は、+1もしくは−1を意図する1bitのPDM信号であり、上記第2の出力信号Y2は、+mもしくは−mもしくは0を意図する1.5bit型のPDM信号であり、上記第2の出力信号Y2は、上記演算器内部に一時記憶され、上記第2の出力信号Y2の極性とゲインmに応じて、上記第1の出力信号Y1に反対極性信号が現れた時に上記第1の出力信号Y1に加算されて、ゲイン1倍の最終PDM信号Yへと演算出力されるものとしてもよい。
また、本発明のデルタシグマ変調器は、上記第2の1.5bit型量子化器からのフィードバックゲインmは、整または少数であるものとしてもよい。
また、本発明のデルタシグマ変調器は、上記第2の1.5bit型量子化器からのフィードバックゲインmが少数の場合には上記演算器により補正を実施して1bitのPDM信号Yを合成出力するものとしてもよい。
このように本発明によれば、2次以上の高次ループフィルタを含有したデルタシグマ変調器において、この高次ループフィルタの内部状態を監視して必要時に第2フィードバック機能を動作させることにより、過大入力時の過負荷問題や発振を排除した安定な変調器を提供可能であり、従来のゲインスケーリング手法を不要とし、さらには2個の出力信号を合成して最終出力として1bitのPDM信号出力Yを得ることが可能であり、この1bitのPDM出力信号Yのduty比としてはゲインスケーリング手法では達成不可能な0%〜100%近くにまで出力可能であり、高い出力ダイナミックレンジを実現可能である。
また本発明によれば、高次数の積分器を使用した低域通過型だけでなく、同等以上に次数の高いバンドパス型もしくは高域通過型デルタシグマ変調器に対しても、必要な内部状態を監視して必要時に第2フィードバック機能を動作させることにより、安定な1bitのPDM信号出力型デルタシグマ変調器を提供可能である。
また本発明は、スイッチトキャパシタ回路を前提とした離散型デルタシグマ変調器のみに限定されるものではなく、高次ループフィルタを連続時間系の回路要素により実施することで容易に連続時間型デルタシグマ変調器にも適用可能である。
また本発明によれば、高次ループフィルタの内部状態に対する監視手法と、必要時にのみ第2フィードバック機能を発動させる手法と、第2フィードバックゲインの選択手法等に対する選択自由度が高く、同時に最終出力として1bitのPDM信号Yを簡便な回路により実現可能であることにより、多種多様な用途に向けた安定かつ出力duty比の高いデルタシグマ変調器を提供可能である。
また本発明によれば、必要時にのみ第2フィードバックが機能することにより、ゲインスケーリング手法により無駄に消費していた電力及びkT/Cノイズ等のアナログノイズを低減可能となり、高ダイナミックレンジで低消費電力のデルタシグマ変調器が提供可能である。
さらに本発明における基本的概念は、A/Dコンバータとしてのアナログデルタシグマ変調器として活用することが最も望ましいが、高次ループフィルタをデジタル加算器とレジスタで実現したデジタル方式のデルタシグマ変調器にも適用可能であり、このデジタル方式のデルタシグマ変調器からの1bitPDM信号出力を1bitのD/A変換するいわゆる1bitデルタシグマ型D/Aコンバータにも適用可能である。
本発明によれば、従来技術で必須とされたゲインスケーリング手法を排除して、64倍程度の低オーバーサンプリング比により安定かつ過負荷状態も発生せず、微少信号再現性が良い、出力duty比90%以上の1bit PDM信号を出力可能な高次デルタシグマ変調器を提供することが可能となる。さらには、従来のゲインスケーリング機能を排除することにより、従来技術より低い消費電力により高いアナログ性能を安価かつ容易に実現可能であると同時に、特に近年のPDMマイク用途で必要な低オーバーサンプリング比1bit出力型のA/Dコンバータとして、出力duty比が90%以上の高い出力ダイナミックレンジの製品を提供可能である。
以下、本発明の実施形態について図面を参照して説明する。
まず、本発明の第1の実施形態について説明する。
図1は、本発明の第1の実施形態として入力アナログ信号を1bitPDM信号へ変換するためのアナログデルタシグマ変調器を示すブロック図である。図1において、デルタシグマ変調器は、アナログ入力信号Xより第1及び第2フィードバック値を減算するための入力加算器110と、この入力加算器110の出力を入力とする2次以上の高次ループフィルタ101と、この高次ループフィルタからの出力を1bit判定する第1の1bit量子化器102と、この1bit量子化器出力Y1を入力フルスケール値±Vrefへ変換して入力加算器110へフィードバックするための第1のD/A変換器104及びフィードバック手段111と、上記高次ループフィルタの内部ステージ出力105のレベルを107及び108で示す正負の判定基準値±Vthと比較判定する第2の1.5bit型量子化器106と、この1.5bit型量子化器出力Y2を入力フルスケール値のm倍である±m・Vrefへ変換して入力加算器110へフィードバックするための第2のD/A変換器109及びフィードバック手段112と、上記両量子化器出力Y1及びY2を入力として1bitのPDM信号へ合成演算するための演算器115とから構成される。
次に、比較のために従来技術の構成について図2に示す。
図2は、従来技術としてゲインスケーリング技術を使用した1bitデルタシグマ変調器とデジタルデシメーションフィルタにより構成されるA/D変換器の一例を示すブロック図である。図2において、A/D変換器は、アナログ入力信号Xを所定レベル以下へ減衰させるために1より小さいゲインg0を乗ずる入力ゲイン手段206と、この入力ゲイン手段からの出力g0・Xよりフィードバック値±Vrefを減算するための入力加算器208と、この入力加算器208の出力を入力とする高次ループフィルタ201と、この高次ループフィルタからの出力を1bit判定する1bit量子化器202と、この1bit量子化器出力Yを入力フルスケール値±Vrefへ変換して入力加算器208へフィードバックするためのD/A変換器204及びフィードバック手段205とから成るDCゲインg0によりゲインスケーリングされた1bitデルタシグマ変調器と、このオーバーサンプリングされた1bitデルタシグマ変調器出力Yより高域量子化ノイズを削除してサンプリング周波数1倍のPCM(パルスコード変調)信号に変換する、DCゲインが1/g0倍のデジタルデシメーションフィルタ212とから構成される。
次に本実施形態におけるデルタシグマ変換器の動作を説明する。
図1に示すように、本実施形態では、アナログ入力信号Xは入力加算器110を経由して高次ループフィルタ101に入力される。この入力加算器及び高次ループフィルタは連続時間系でも離散時間系でも実現可能であるが、ここでは説明の簡便化のため、スイッチトキャパシタ回路を使用した離散時間系で説明する。この場合、入力加算器はスイッチトキャパシタ回路により構成され、高次ループフィルタの初段入力用スイッチトキャパシタ回路と共用して簡便に実現可能である。同時に、第1の1bit量子化器102による前サンプリング判定結果に基づく第1フィードバック値として±Vrefと、第2の1.5bit型量子化器106による前サンプリング判定結果に基づく第2フィードバック値±m・Vrefの減算がこの入力加算器110により実施される。この減算もスイッチトキャパシタ回路としてこの高次ループフィルタの初段入力機能と同時に実現可能である。
従って、高次ループフィルタへの入力は、
X(n)−(Y1(n−1)・Vref)−(Y2(n−1)・Vref)
となる。ここで、(n)は離散系におけるn番目のサンプリングデータを表現するものであり、(n−1)は離散系における1回前のサンプリングデータ、即ち、n−1番目のサンプリングデータを表現するものである。また、Y1(n−1)は+1もしくは−1の値であり、Y2(n−1)は+mもしくは0もしくは−mの値である。高次ループフィルタは、2次以上のスイッチトキャパシタ回路で構成され、通常のオーディオ用途であれば、目標とする信号帯域は22kHz程度までの低周波数域であるため、0〜22kHzまでのゲインを大きくしたループフィルタが好適であり、低域通過フィルタが使用されるが、さらに好ましいのは積分器である。
この積分器の0〜22kHzまでのDCゲインが大きい程、デルタシグマ変調器としての量子化ノイズ抑圧効果が大きいので、この積分器も1次よりは2次以上の高次数が望ましい。この高次ループフィルタは上記の新入力データと過去の蓄積データを元に、新たな出力117と内部ステージ出力105を生成する。ループフィルタ出力117は、従来のシングルループ型デルタシグマ変調器と同様に、1bit量子化器102に入力され、1bit PDM信号Y1の新データY1(n)として出力されると共に、1bitのD/A変換器104により正もしくは負のフルスケール値±Vrefに変換されて第1のフィードバック111を介して入力加算器110へフィードバックされ、次回の入力サンプリング値X(n+1)より減算される。
本実施形態においては、図2に示す従来技術のようなゲインスケーリングを採用していないため、入力Xが正もしくは負のフルスケール近傍に近づく大入力の場合には、ループフィルタ次数が高次で数サンプリング分の時間遅れを含むため、上記第1フィードバックが十分に大入力に追従できず、高次ループフィルタの内部ステージ105が大きく振れ、正常なアナログ演算が不可能となり、特に次数が高いデルタシグマ変調器では系全体の発振に陥る恐れがある。本実施形態では、このような過負荷時対策として有効に機能する第2フィードバックを設けたものであり、この高次ループフィルタの内部ステージ105を監視し、この内部ステージ105の状態が大きく振れた場合には、第2フィードバック値±m・Vrefを入力Xより減算する機能を有する。
さらに具体的には、入力Xが大きく第1フィードバックの減算のみでは対応不可能になった場合には高次ループフィルタ105の内部ステージ105も大きく変動する。内部ステージとは、例えばn次積分器の場合には各積分器出力が代表例であり、n個のステージ出力が存在するが、特に入力側に最も近い第1ステージ出力が最小時間遅れであり、過入力状態を監視するのに好適である。この内部ステージ状態を監視し、その絶対値が所定基準値以上に達した場合には、1.5bit型量子化器106によりその極性も含めて判定し、判定値Y2を出力すると共に、この判定結果に基づいて第2フィードバック値±m・Vrefを入力加算器110へ戻して次回サンプリングX(n+1)から減算する。
従って、次回サンプリングでのフィードバック値は、第1及び第2の両フィードバックの合計値である±(m+1)・Vrefが効くことになり、m=1の場合には2倍,m=2の場合には3倍のフィードバックゲインをダイナミックに得ることとなり、内部ステージの過負荷状態は容易に早急に解消され、デルタシグマ変調器は安定な系として機能し続ける。また、通常状態では、入力信号はA/D変換器のフルスケールより十分低いレベルで−10dB以下が通常であり、この場合には監視する内部ステージ105の出力レベルも十分小さく、Y2=0の状態が続き、第2フィードバック±m・Vrefも入力加算器には関与しない。従って、第2フィードバックを実現するスイッチトキャパシタ回路に起因したkT/Cノイズは小信号特性にはノイズとして寄与せず、入力ゲインスケーリングを使用した従来技術でのS/N劣化原因を排除でき、より低い消費電力を使用してより高いアナログ性能を実現可能である。
両量子化器出力Y1とY2は、演算器115により論理演算されて1bitPDM信号Yとして出力される。この論理演算方法としては、
Y(n)=Y1(n)+Y2(n)
が基本形であるが、
Y1(n)=±1
Y2(n)=0 or ±m
であるため、最終出力Y(n)の採り得る値は、単純な加算のみでは、
Y(n)=±1,−1+m,+1−m,+1+m,−1−m
となり、本来の1bitPDM信号としての±1以外の値もとりうる。
従って、本実施形態においては、Y2(n)は、通常はゼロが連続し、瞬間的に+mもしくは−mになることと、Y2(n)=±mの発生直後には第2フィードバックが機能するために、1より大なる整数αを使用してα回後のサンプリングデータを(n+α)と表現して、
Y2(n)=+mの直後にはY1(n+α)=−1
が出現し、
Y2(n)=−mの直後にはY1(n+α)=+1
が出現するという事象に着目し、Y2(n)を一時記憶手段により遅延させ、後で必ず出現するY1(n+α)と加算することで、Y(n+α)=±1を確実に生成させるものである。
例えば、m=2を選択した場合、α回遅延をz−αと表現すれば、
Y(n+α)=Y2(n)・z−α+Y1(n+α)
と表現され、
Y2(n)=+2の場合には、Y(n+α)=+2−1=+1
となり、
Y2(n)=−2の場合には、Y(n+α)=−2+1=−1
となる。
即ち、Y2に一時記憶手段を備えさせ、Y1出力が逆極性になるのを待機して、加算することにより、最終出力Yは+1または−1に限定された1bitのPDM信号として出力される。
また、上記はm=2の場合であるが、m=1を選択した場合には、時系列データ{Y2(n)}により2回の同極性データが出現するのを待機して、上記同様の演算を実施することにより、最終出力Yは上記と同様に+1または−1に限定された1bitのPDM信号として出力されることが可能である。以上の説明により、mは整数に拘らず少数でも同様の1bitPDM信号生成は可能であるが、mとして整数を選択した方が、実回路が簡便になることは自明である。
図2は、参考のためにゲインスケーリング手法を使用した従来技術の例を、図1に比較して記載したものであるが、非特許文献4〜6及び特許文献1にも記載されているように、高次シングルループデルタシグマ変調器を安定に動作させ、特に過大入力に対処するために、入力信号Xは入力ゲイン手段206により1より小さなDCゲイン、例えばg0=0.5なる減衰処理を施されてデルタシグマ変調器に入力される。従って、この変調器出力Yのduty比は、g0=0.5の場合にはフルスケール入力に対して25%〜75%に制限され、後段のデジタルフィルタにより1/g0=1/0.5=2となるゲイン処理が操作され、16bitPCM信号として出力される。本方式では、本発明が目的とする1bitPDM信号を出力するPDMマイク用途には、その最終出力dutyが100%から程遠く、有効なダイナミックレンジを使いきれない点で、不向きである。また同時に、入力信号g0・Xに比較して、常に1bitDACからのフィードバック値±Vrefが大きく、アナログ特性対消費電力の観点からも損である。即ち、g0=0.5倍の場合には、入力信号Sそのものが−6dBとされており、スイッチトキャパシタ回路によるkT/Cノイズやオペアンプ等によるアナログノイズNが同等であれば、これらの比であるS/N比は6dB劣化することになる。
次に本発明の第2の実施形態について説明する。
図3は、本発明の第2の実施形態として第1の実施形態における高次ループフィルタを4次積分器により構成した4次アナログデルタシグマ変調器を示すブロック図である。本実施形態において、4個の積分器131〜134は縦続接続され、各積分器出力141〜144は4個のフィードフォワード係数a1〜a4の比率によりフィードフォワード加算器170に入力され、このフィードフォワード加算器出力171が高次ループフィルタ出力として第1の1bit量子化器102へ入力される。高次ループフィルタの内部ステージとして、本実施形態では第1積分器131の出力141を選択した例を示しており、この出力が高次ループフィルタの内部ステージ出力105として、第2の1.5bit型量子化器106に入力される。説明を簡便化するために、高次ループフィルタ以外は第1の実施形態と同様の構成としている。
本実施形態においては、4個の積分器131〜134が縦続接続され、各積分器出力141〜144は4個のフィードフォワード係数a1〜a4の比率によりフィードフォワード加算器170に入力され、フィードフォワード加算器出力171が高次ループフィルタ出力として第1の1bit量子化器102へ入力される、4次のデルタシグマ変調器を構成する。また、本実施形態では、第4積分器出力144よりフィードバックゲイン155により係数b0を乗じてパス165を介して第3積分器133の入力へのフィードバックパスを設けることにより、非特許文献4〜6において説明したように、量子化ノイズの信号帯域内分布にゼロ点を有させて、信号対量子化ノイズ比の向上を実現している。
高次ループフィルタの内部ステージとして、本実施形態では第1積分器131の出力141を選択した例を示しており、この出力141が高次ループフィルタの内部ステージ出力105として、第2の1.5bit型量子化器106に入力される。説明を簡便化するために、高次ループフィルタ以外は第1の実施形態と同様構成としている。
本実施形態は連続時間系でも実現可能であるが、ここではスイッチトキャパシタ回路による離散時間系で構成した場合を実例として説明する。各積分器131〜134はサンプリング用スイッチトキャパシタ回路とオペアンプ及び積分用キャパシタで構成されたいわゆるスイッチトキャパシタ型積分器であり、入力加算器110は第1積分器131のサンプリング回路と同時に実現可能であり、従って、入力Xのサンプリングと第1フィードバック±Vref・Y1及び第2フィードバック±m・Vref・Y2の減算が第1積分器の一部として同時に実現される。より具体的には、入力Xに対するサンプリングキャパシタと同サイズのキャパシタが第1フィードバックキャパシタとして使用され、この第1フィードバックキャパシタのm倍サイズのキャパシタが第2フィードバックキャパシタとして使用されることにより、第1及び第2フィードバックにおけるフルスケール基準値Vref及びm・VrefへのD/A変換は容易に実現可能である。また、フィードバック値Y1もしくはY2に応じた正もしくは負の極性の選択は、例えば全差動回路により実現する場合、両信号パスの極性選択により簡易に実現可能である。
フィードフォワード係数a1〜a4及びフィードフォワード加算器170は、係数a1〜a4の比率を4個のサンプリングキャパシタの比率で表現した単一のスイッチトキャパシタ回路として実現され、同時に第1量子化器102の入力用サンプリングキャパシタ回路として機能して、第1の判定値Y1を出力すると同時に上記スイッチトキャパシタ回路により実現される第1フィードバックパスにより入力加算器にフィードバックされ、次回入力サンプリングXから減算される。
同様に、内部ステージ出力105は、第2の1.5bit型量子化器106の入力用スイッチトキャパシタ回路と共用して実現され、第1積分器131の出力141の値をサンプリングし、正もしくは負の判定基準値と比較して、この出力値が+Vth以上ならばm・Vrefを、−Vth以下ならば−m・Vrefを次回入力サンプリングXより減算する。
以上の動作を、あるサンプリング時点nでの各積分器出力141〜144の値をV1(n)〜V4(n)、入力をX(n)、両量子化器出力をY1(n),Y2(n)として表現すれば、
V1(n)=V1(n−1)+X(n)−Y1(n−1)・Vref −Y2(n−1)・Vref
V2(n)=V2(n−1)+V1(n)
V3(n)=V3(n−1)+V2(n)−b0・V4(n−1)
V4(n)=V4(n−1)+V3(n)
であり、Y1(n)は、フィードフォワード加算結果をSum(n)として
Sum(n)=a1・V1(n)+a2・V2(n)+a3・V3(n)+a4・V4(n)
を使用して、アナログ基準電位を0と表現すれば、
Sum(n)>0 ならば Y1(n)=1
となり、
Sum(n)<0 ならば Y1(n)=−1
となる。
Y2(n)は、第1積分器出力V1(n)を判定基準値±Vthと比較し、
V1(n)>Vth ならば Y2(n)=m
Vth>V1(n)>−Vth ならば Y2(n)=0
−Vth>V1(n) ならば Y2(n)=−m
となる。
図1に示した第1の実施形態と同様に、本実施形態においても、入力信号X(n)の絶対値が十分小さい場合、例えば−6dB以下の場合には、各積分器出力V1(n)〜V4(n)は大きく振れず、従来の4次デルタシグマ変調器と同様の安定な挙動を実現する。即ち、監視している内部ステージV1(n)の値は+Vth〜−Vthの範囲内であり、結果としてY2(n)=0となり、第2フィードバックも動作せず、最終出力はY(n)=Y1(n)である。一方、入力信号X(n)の絶対値が大きく−6dB以上の入力が続く場合には、まず第1積分器出力V1(n)が大きく振れ始め、このV1(n)の値に応じて1.5bit型量子化器106の出力Y2(n)=±mとなり、第2フィードバックパス112を介して±m・Vrefが入力加算器110へフィードバックされて、次回サンプリングデータX(n+1)から減算される。
この第2フィードバックは、第1フィードバックと同時に寄与して入力フルスケールより十分大きな値を瞬間的に減算可能なため、次回内部ステージ値V(n+1)を十分に小さく抑制できる。また、第1ステージを監視することにより、第2フィードバックの遅延は1サンプリング遅延に抑制でき、十分に安定な系を実現可能である。尚、Y2(n)=±mとなった場合には、上記第1の実施形態と同様手法により演算器115においてY1とY2を加算した最終出力Yが求められる。
尚、第2フィードバックにおける判定基準値±Vthの値は、各積分器ゲインK1〜K4及び係数a1〜a4,b0等の選択に応じて決めるべき値であり、通常はシステムレベルのシミュレーション検証により決定できる。また、通常は±Vth値を所定値として固定する場合が多いが、使用目的や入力状態に応じて変更可能な可変値を使用することも可能である。
また、本実施形態において、説明を簡略化するために、スイッチトキャパシタ回路を使用した離散系デルタシグマ変調器を例にとって説明してきたが、図3における積分器を例えば入力抵抗と積分キャパシタとオペアンプにより構成し、フィードフォワード係数を入力抵抗比で表現したアナログ加算器により構成し、第1及び第2フィードバックと入力Xとの加算を第1積分器の入力抵抗で表現すれば、容易に連続時間系のデルタシグマ変調器が実現可能であることは自明である。当然ながら、入力抵抗の代わりにいわゆるGmセルを使用することも可能である。
いずれにしても、図3に示す4次デルタシグマ変調器では、オーバーサンプリング比64倍により、信号帯域内の量子化ノイズを−100dB以下に抑制でき、A/D変換器としての最終的なS/N比やダイナミックレンジというアナログ特性をスイッチトキャパシタ回路のkT/Cノイズやオペアンプノイズ等のアナログノイズが支配的になるように決定可能である。この際には、上記第1の実施形態の説明で述べたように、例えば−6dB以下の小信号入力時には第2フィードバックが寄与しない良好な特性が低消費電力で得られ、−6dB以上の大信号入力時には第2フィードバックによりゲインスケーリング手法と同等の安定性が確保され、最終出力Yはduty比0%〜100%までの広いダイナミックレンジを有した1bitPDM信号が得られる。
次に本発明の第3の実施形態について説明する。
図4は、本発明の第3の実施形態として、高次ループフィルタの内部ステージとして複数の積分器出力を使用した4次アナログデルタシグマ変調器を示すブロック図である。説明を簡便化するために、高次ループフィルタを第2の実施形態と同じ4次構成とした場合を示す。より一般的な活用例を説明するために、本実施形態では、4個の積分器出力141〜144を全て使用し、各積分器出力141〜144に対して4個のダイナミックフィードバックパス181〜184を接続し、係数b1〜b4の比率によりダイナミックフィードバック加算器185により加算した結果を、内部ステージ出力105として第2の1.5bit型量子化器106に入力させたものである。本構成により、4次積分器における全ての出力状態V1(n)〜V4(n)を監視することが可能となり、実回路設計における自由度を増すことが可能である。基本動作としては、ダイナミックフィードバック加算器185での加算結果をSum2(n)として、
Sum2(n)=b1・V1(n)+b2・V2(n)+b3・V3(n)+b4・V4(n)
を使用して、Y2(n)が判定基準値±Vthと比較し、
Sum2(n)>Vth ならば Y2(n)=m
Vth>Sum2(n)>−Vth ならば Y2(n)=0
−Vth>Sum2(n) ならば Y2(n)=−m
となり、他の動作は上記の第2の実施形態と同じである。
本実施形態は、4次積分器の一例として一般化したものであり、2次以上の系により一般的に使用可能である。また、積分器全ての出力を監視する必要が無い場合、例えば第1積分器出力と第2積分器出力のみを監視する場合には、第3係数b3と第4係数b4を0とすれば良いことは自明である。
以上の説明は、高次ループフィルタとして積分器の縦続接続構成を使用した例、即ち低域通過型デルタシグマ変調器について説明したものであるが、この高次ループフィルタとしてバンドパス特性もしくはハイパス特性を有する、いわゆるバンドパス型デルタシグマ変調器もしくはハイパス型デルタシグマ変調器においても同様の構成が可能である。
次に、第1〜第3の実施形態により記述した1.5bit型量子化器106の一実施形態を、図5を使用して簡単に説明する。
図5は、1.5bit型量子化器の動作の一例を詳細に説明するための説明図であって、説明を簡略化するためにシングルエンド型で記載した図である。1.5bit型量子化器106は、通常高次ループフィルタ101が全差動回路の場合には全差動回路で構成され、高次ループフィルタ101がシングルエンド回路の場合にはシングルエンド回路で構成されるが、ここでは説明を簡略化するために、シングルエンド回路構成により説明する。1.5bit型量子化器106としては、例えば2個の量子化器106aと106bにより基本構成されるいわゆるウィンドウコンパレータであり、必要に応じて論理回路106cも追加される。106aは内部ステージ出力Soと正判定基準値+Vthを比較判定してY2+を出力し、106bは内部ステージ出力Soと負判定基準値−Vthを比較判定してY2−を出力する。両出力Y2+,Y2−より論理回路106cにより真理値表に基づきY2を出力する。両量子化器の論理出力はHigh=H、Low=Lと表現した場合に、
So>+Vth ならば、 Y2+=H,Y2−=L,Y2=+m
+Vth>So>−Vth ならば、Y2+=L,Y2−=L,Y2=0
−Vth>So ならば、 Y2+=L,Y2−=H,Y2=−m
となる。従って、Y2の値は+m,0,−mの3値となり、故に1.5bit型量子化器として機能する。
尚、本実施形態におけるmは、通常は整数を前提とするが、先の実施形態により述べたように整数以外でもかまわず、第2フィードバックでのゲイン値を表現するためのものである。また、論理回路106cは必須のものではなく、上記Y2+とY2−とを上記真理値表におけるY2出力と同等機能を実施するように、第2フィードバックパス112及び演算器115により直接使用する場合には、論理回路106cは省略可能である。
次に、第1〜第3の実施形態により説明した演算器115の動作をさらに詳細に説明する。
図6は、演算器115の動作を説明するための一実施形態を、上記Y2(n)を一時記憶する手段としてUp/Downカウンタを使用した場合を示すブロック図であり、Y2としてY2+とY2−を直接使用した例を示すものであり、図7は、図6に示す演算器115の基本動作を示すためのタイミングチャート図である。
本実施形態としては、第2フィーバックゲインm=2の場合を示し、1.5bit型量子化器出力Y2(n)としては、図5に示した論理回路106cで合成する前の正側判定結果Y2+(n)と負側判定結果Y2−(n)を使用する。Up/Downカウンタ301は、リセット端子318により初期リセットされ、動作クロック317のフォーリングエッジにより動作し、2個のUp信号入力端子302及び309と、2個のDown信号入力端子303及び308とを有し、内部カウンタ値は正及び負の値が扱える両極性値であり、正の最大値SP_maxから負の最大値SM_maxまで扱える。
1.5bit型量子化器106からの正判定結果出力Y2+(n)は第1のUp信号入力端子302のUP1に接続され、負判定結果出力Y2−(n)は第1のDown信号入力端子303のDOWN1に接続されており、動作クロックのフォーリングエッジにより両判定結果が内部カウンタに取込まれ、Up即ち+1もしくはDown即ち−1される。より具体的には、Y2+(n)=Hの場合には、内部カウンタ値は+1され、Y2−(n)=Hの場合には、内部カウンタ値は−1され、Y2+(n)=LかつY2−(n)=Lの場合には、内部カウンタ値は変化しない。内部カウンタ値は動作クロックのフォーリングエッジによりUp/Down動作を行うため、内部カウンタ値は前回サンプリングまでのY2の結果を累積して記憶することが可能である。従って、内部カウンタ値が前回サンプリング結果までを表現している意味で(n−1)なる記号を使用して、内部カウンタ値をS(n−1)と表現し、カウンタ値が正もしくは負の場合にのみ出力信号を出す2個の出力端子として304に示す正側出力SO+(n−1)と305に示す負側出力SO−(n−1)は、
S(n−1)>0 ならば SO+(n−1)=H,SO−(n−1)=L
S(n−1)<0 ならば SO+(n−1)=L,SO−(n−1)=H
S(n−1)=0 ならば SO+(n−1)=L,SO−(n−1)=L
となる。
S(n−1)>0の場合には、正側出力SO+(n−1)は、第1量子化器出力Y1(n)の反転信号314とAND回路306によりAND判定されて、このAND結果308が上記Up/Downカウンタの第2のDown信号入力端子DOWN2へ接続される。従って、内部カウンタ値S(n−1)が正になれば、SO+(n−1)=Hとなり、Y1(n)=Lが現れるまで待機してからAND回路出力308がHとなり、次回動作クロックのフォーリングエッジにより内部カウンタ値を−1する。同時に、AND回路出力308のH状態は、OR回路310を介してセレクタ315の選択指示端子315Sに伝達され、Y1(n)の反転信号314をY(n)へ出力する。尚、セレクタ315は、選択指示端子315SがHの場合にH選択時入力端子315HIを選択出力し、選択指示端子315SがLの場合にはL選択時入力端子315LIを選択出力するものである。
以上の動作を簡便に示したものが、図7に示すタイミングチャート図におけるサンプリング番号n=4〜6の箇所であり、サンプリング番号n=4においてY2+(n)=Hとなり、この結果がn=5のタイミングにおいて内部カウンタ値S(n−1)を0から+1に変化させると同時に正側出力SO+(n−1)をHに変化させてY1(n)がLになるのを待機している。ここではn=5のタイミングにおいて即座にY1(n)=Lとなったため、Y1(n)の反転信号314とのAND論理結果308がHとなり、セレクタ選択指示端子315SがHとなり、セレクタ315によりH選択時入力端子315HIが選択され、Y1(n)の反転信号314が選択される。即ち、Y1(n)を反転した結果であるHがY(n)として出力されることになる。本例は、第2フィードバックゲインをm=2と設定したものであり、上記第1の実施形態により詳述したように、
Y(n=5)=Y1(n=5)+Y2(n=4) =−1+2 =+1
なる加算結果を最終出力Y(n)として出力するものである。さらにn=6のタイミングにおいては、上記AND論理結果308のH出力がDOWN2端子に接続されているため、内部カウンタ値S(n−1)が+1から0に戻される。
一方、S(n−1)<0の場合には、負側出力SO−(n−1)は第1の量子化器出力Y1(n)の正転信号313とAND回路307によりAND判定されて、このAND結果309が上記Up/Downカウンタの第2のUp信号入力端子UP2へ接続される。従って、内部カウンタ値S(n−1)が負になればSO−(n−1)=Hとなり、Y1(n)=Hが現れるまで待機してからAND回路出力309がHとなり、次回動作クロックのフォーリングエッジにより内部カウンタ値を+1する。同時に、AND出力309のH状態はOR論理310を介してセレクタ315の選択指示端子315Sに伝達され、Y1(n)の反転信号314をY(n)へ出力される。
以上の動作を簡便に示したものが図7に示すタイミングチャート図におけるサンプリング番号n=10〜12の箇所であり、サンプリング番号n=10においてY2−(n)=Hとなり、この結果がn=11のタイミングにおいて内部カウンタ値S(n−1)を0から−1に変化させると同時に、負側出力SO−(n−1)をHに変化させてY1(n)がHになるのを待機している。ここではn=11のタイミングにおいて即座にY1(n)=Hとなったため、Y1(n)の反転信号314とのAND論理結果309がHとなり、セレクタ315によりH選択時入力端子315HIが選択され、Y1(n)の反転信号314が選択される。即ち、Y1(n)を反転した結果であるLが、Y(n)として出力されることになる。本例は、第2フィードバックゲインをm=2と設定したものであり、上記第1の実施形態により詳述したように、
Y(n=11)=Y1(n=11)+Y2(n=10) =+1−2 =−1
である加算結果を最終出力Y(n)として出力するものである。さらにn=12のタイミングにおいて、上記AND論理結果309のH出力がUP2端子に接続されているため、内部カウンタ値S(n−1)が−1から0に戻される。
尚、図7に示すように、Y2+(n)=HもしくはY2−(n)=Hのいずれも発生せず、内部カウンタ値S(n−1)=0の状態が続いている場合には、セレクタ選択指示端子315Sは常にLであり、セレクタ315ではL選択時入力端子315LIが選択され、最終出力Y(n)は第1量子化器出力Y1(n)と同じ値を出力し続ける。
また、図7においては、内部カウンタ値S(n−1)が+1もしくは−1である例のみ示したが、入力状態によりこの内部カウンタ値S(n−1)が+2以上もしくは−2以下になることも可能であるが、その場合の動作も上記と同様である。
また、内部カウンタ値の上限値として、本例では正最大値SP_max及び負最大値SM_maxを設定することにより、内部カウンタ値が両上限値以上にならないような制限を施している。これは、Up/Downカウンタの基本動作が累算器と同様であり、両上限値でのリミットが無い場合に、オーバーフローの発生時にカウンタ値が反対極性へ変化してしまう現象を避けることを目的としたものであり、必要十分な値を両最大値として設定すれば、デルタシグマ変調器としての特性には何ら悪影響を及ぼさない。
以上、本実施形態では、m=2の場合について具体例を示したが、上記したm=1の場合には、例えば内部カウンタ値に対する判定基準を+2以上及び−2以下として両出力SO+及びSO−を出力させ、この出力結果に基づく第2のUP/Down信号UP2及びDOWN2によるカウンタ値のUP/Down値を+2もしくは−2と設定することにより、上記m=2の場合と同様の回路を実現可能である。同様に、mが整数でなく少数の場合も多様な手段を考案可能であるが、簡単のために、m=2/3=0.666・・の場合には、3回のY2出力により上記動作が実施されれば良いので、上記内部カウンタ値に対する判定基準を+3以上及び−3以下として両出力SO+及びSO−を出力させ、この出力結果に基づく第2のUP/Down信号UP2及びDOWN2によるカウンタ値のUP/Down値を+3もしくは−3と設定することにより、上記m=2の場合と同様の回路を実現可能である。
以上、複数の実施形態について説明してきたように、本発明によれば、2次以上の高次ループフィルタを含有したデルタシグマ変調器において、この高次ループフィルタの内部状態を監視して必要時に第2フィードバック機能を動作させることにより、過大入力時の過負荷問題や発振を排除した安定な変調器を提供可能であり、従来のゲインスケーリング手法を不要とし、さらには2個の出力信号を合成して最終出力として1bitのPDM信号出力Yを得ることが可能であり、この1bitのPDM出力信号Yのduty比としてはゲインスケーリング手法では達成不可能な0%〜100%近くにまで出力可能であり、高い出力ダイナミックレンジが実現可能である。
また、本発明によれば、高次数の積分器を使用した低域通過型だけでなく、同等以上に次数の高いバンドパス型もしくは高域通過型デルタシグマ変調器に対しても、必要な内部状態を監視して必要時に第2フィードバック機能を動作させることにより、安定な1bitのPDM信号出力型デルタシグマ変調器を提供可能である。
また、本発明によれば、スイッチトキャパシタ回路を前提とした離散型デルタシグマ変調器のみに限定されるものではなく、高次ループフィルタを連続時間系の回路要素により実施することにより、容易に連続時間型デルタシグマ変調器にも適用可能である。
また、本発明によれば、高次ループフィルタの内部状態に対する監視手法と、必要時にのみ第2フィードバック機能を発動させる手法と、第2フィードバックゲインの選択手法等に対する選択自由度が高く、同時に最終出力として1bitのPDM信号Yを簡便な回路により実現可能であることにより、多種多様な用途に向けた安定かつ出力duty比の高いデルタシグマ変調器を提供可能である。
また、本発明によれば、必要時にのみ第2フィードバックが機能することにより、ゲインスケーリング手法により無駄に消費していた電力及びkT/Cノイズ等のアナログノイズを低減可能となり、高ダイナミックレンジで低消費電力のデルタシグマ変調器が提供可能である。
さらに、本発明における基本的概念は、A/Dコンバータとしてのアナログデルタシグマ変調器として活用することが最も望ましいが、高次ループフィルタをデジタル加算器とレジスタで実現したデジタル方式のデルタシグマ変調器にも適用可能であり、このデジタル方式のデルタシグマ変調器からの1bitPDM信号出力を1bitのD/A変換するいわゆる1bitデルタシグマ型D/Aコンバータにも適用可能であることは明らかである。
本発明の第1の実施形態として入力アナログ信号を1bit PDM信号変換するための高次アナログデルタシグマ変調器を示すブロック図である。 従来技術としてのゲインスケーリング技術を使用した1bitデルタシグマ変調器とデジタルデシメーションフィルタにより構成されるA/D変換器の一例を示すブロック図である。 本発明の第2の実施形態として高次ループフィルタを4次積分器により構成した4次アナログデルタシグマ変調器を示すブロック図である。 本発明の第3の実施形態として高次ループフィルタにおける監視すべき内部ステージとして複数の積分器出力を使用した4次アナログデルタシグマ変調器を示すブロック図である。 本発明による1.5bit型量子化器の動作の一例を詳細に説明する説明図である。 本発明による第1及び第2量子化器出力を合成して最終1bitPDM信号に合成するための演算器の一例を示す図である。 図6に示す演算器の動作を説明するためのタイミングチャートを示す図である。
符号の説明
100、200 アナログ信号入力端子
101、201 高次ループフィルタ
102、202 第1の1bit型量子化器
103、203 アナログ基準電位(アナログ・グランド)
104、204 第1のD/A変換器
105 高次ループフィルタの内部ステージ出力
106 第2の1.5bit型量子化器
106a、106b 量子化器
106c 論理回路(Y2+とY2−とよりY2を論理生成)
107 正の判定基準値(+Vth)
108 負の判定基準値(−Vth)
109 第2のD/A変換器
110、208 入力加算器
111、205 第1のフィードバック接続
112 第2のフィードバック接続
113、211 第1の1bit型量子化器出力Y1
114 第2の1.5bit型量子化器出力Y2
115 演算器(Y1とY2とよりYを演算生成する演算器)
116、209 ループフィルタ入力
117、210 ループフィルタ出力
206 入力ゲイン手段(DCゲイン=g0)
207 入力ゲイン手段出力
212 デジタルデシメーションフィルタ(DCゲイン=1/g0)
131、132、133、134 積分器
141、142、143、144 積分器出力
151、152、153、154 フィードフォワード係数
161、162、163、164 フィードフォワード接続
155 ループフィルタ内フィードバック係数
165 ループフィルタ内フィードバック接続
170 フィードフォワード加算器
171 フィードフォワード加算器出力
181、182、183、184 ダイナミックフィードバック係数
185 ダイナミックフィードバック加算器
301 Up/Downカウンタ
302 第1のUp信号入力端子(UP1)
303 第1のDown信号入力端子(DOWN1)
304 正側出力端子(SO+(n−1))
305 負側出力端子(SO−(n−1))
306、307 AND論理回路
308 AND回路306出力より第2のDown信号入力端子(DOWN2)への接続
309 AND回路307出力より第2のUp信号入力端子(UP2)への接続
310 OR回路
311 OR回路出力
312 インバータ回路
313 Y1(n)の正転信号
314 Y1(n)の反転信号
315 セレクタ
315S セレクタの選択指示端子(S)
315HI H選択時入力端子(選択指示S=Hにより選択)
315LI L選択時入力端子(選択指示S=Lにより選択)
316 Y(n)出力
317 初期リセット端子
318 動作クロック入力端子
Y2+ 正判定結果
Y2− 負判定結果

Claims (10)

  1. アナログ入力信号を受ける2次以上の高次ループフィルタと、
    前記高次ループフィルタの出力がアナログ基準電位に対して正もしくは負のいずれであるかを判定するための第1の1bit量子化器と、
    前記第1の1bit量子化器からの第1の出力信号Y1を入力アナログ信号の負もしくは正のフルスケールレベルへD/A変換して前記高次ループフィルタの入力段へフィードバックする第1のフィードバック手段と、
    前記高次ループフィルタを構成する複数の内部ステージより監視すべき所定の内部ステージを選択し、前記監視すべき内部ステージの出力絶対値があらかじめ定められた判定基準値以上になったことを極性も含めて判定するための第2の1.5bit型量子化器と、
    前記第2の1.5bit型量子化器からの第2の出力信号Y2を入力アナログ信号の負もしくは正のフルスケールレベルのm倍へD/A変換して前記高次ループフィルタの入力段へフィードバックする第2のダイナミックフィードバック手段と、
    前記第1の出力信号Y1(+1,−1)と第2の出力信号Y2(+m,0,−m)を演算して1bitのPDM信号Yを生成出力する演算器と
    を備えることを特徴とするデルタシグマ変調器。
  2. 前記高次ループフィルタが2個以上の積分器を縦続接続されて構成された高次積分器であり、前記複数個の積分器出力が前記高次ループフィルタを構成する複数の内部ステージに対応することを特徴とする請求項1に記載のデルタシグマ変調器。
  3. 前記高次ループフィルタが2個以上の積分器を縦続接続されて構成されたn次積分器と前記n個の積分器出力を所定の比率a1〜anにより加算して前記第1の1bit量子化器に入力する構成であり、前記n個の積分器出力が前記高次ループフィルタを構成するn個の内部ステージに対応することを特徴とする請求項1に記載のデルタシグマ変調器。
  4. 前記高次ループフィルタが複数のオペアンプにより構成される低域通過特性、もしくはバンドパス特性、もしくは高域通過特性を有することを特徴とし、前記複数のオペアンプ出力が前記高次ループフィルタを構成する複数の内部ステージに対応することを特徴とする請求項1に記載のデルタシグマ変調器。
  5. 前記第2の1.5bit型量子化器が2個のコンパレータ機能により構成されるウィンドウコンパレータであり、
    前記高次ループフィルタがシングルエンド回路構成の場合には、前記監視すべき内部ステージの出力は前記アナログ基準電位を基準としたシングルエンドの信号であり、前記判定基準値は前記アナログ基準電位を基準とした正判定基準値+Vthと負判定基準値−Vthであり、
    記第2の1.5bit型量子化器が監視する内部ステージ出力レベルが+Vth以上ならば+mを、−Vth以下ならば−mを、+Vthと−Vthの間であれば0(ゼロ)を、第2の出力信号Y2として出力することを特徴とする請求項1乃至4に記載のデルタシグマ変調器。
  6. 前記第2の1.5bit型量子化器が2個のコンパレータ機能により構成されるウィンドウコンパレータであり、
    記高次ループフィルタが全差動回路構成の場合には、前記監視すべき内部ステージの出力は0電位を基準とした全差動信号であり、前記判定基準値は前記0電位を基準とした正判定基準値+Vthと負判定基準値−Vthであり、
    前記第2の1.5bit型量子化器が監視する内部ステージ出力レベルが+Vth以上ならば+mを、−Vth以下ならば−mを、+Vthと−Vthの間であれば0(ゼロ)を、第2の出力信号Y2として出力することを特徴とする請求項1乃至4に記載のデルタシグマ変調器。
  7. 前記高次ループフィルタにおける前記第2の1.5bit型量子化器が監視する内部ステージがループ安定性もしくは過負荷回避のために最も有効な内部ステージであることを特徴とし、単一の内部ステージのみか、もしくは複数の内部ステージ出力を所定の比率で加算した結果のいずれかであることを特徴とする請求項1乃至に記載のデルタシグマ変調器。
  8. 前記第1の出力信号Y1は、+1もしくは−1を意図する1bitのPDM信号であり、前記第2の出力信号Y2は、+mもしくは−mもしくは0を意図する1.5bit型のPDM信号であり、
    前記第2の出力信号Y2は、前記演算器内部に一時記憶され、前記第2の出力信号Y2の極性とゲインmに応じて、前記第1の出力信号Y1に反対極性信号が現れた時に前記第1の出力信号Y1に加算されて、ゲイン1倍の最終PDM信号Yへと演算出力されることを特徴とする請求項1乃至に記載のデルタシグマ変調器。
  9. 前記第2の1.5bit型量子化器からのフィードバックゲインmは、整または少数あることを特徴とする請求項1乃至に記載のデルタシグマ変調器。
  10. 前記第2の1.5bit型量子化器からのフィードバックゲインmが少数の場合には前記演算器により補正を実施して1bitのPDM信号Yを合成出力することを特徴とする請求項9に記載のデルタシグマ変調器。
JP2008158251A 2008-06-17 2008-06-17 デルタシグマ変調器 Active JP4890503B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008158251A JP4890503B2 (ja) 2008-06-17 2008-06-17 デルタシグマ変調器
US12/483,709 US7936293B2 (en) 2008-06-17 2009-06-12 Delta-sigma modulator

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008158251A JP4890503B2 (ja) 2008-06-17 2008-06-17 デルタシグマ変調器

Publications (2)

Publication Number Publication Date
JP2009303157A JP2009303157A (ja) 2009-12-24
JP4890503B2 true JP4890503B2 (ja) 2012-03-07

Family

ID=41414257

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008158251A Active JP4890503B2 (ja) 2008-06-17 2008-06-17 デルタシグマ変調器

Country Status (2)

Country Link
US (1) US7936293B2 (ja)
JP (1) JP4890503B2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8838024B2 (en) 2009-02-10 2014-09-16 Sony Corporation Near field communication apparatus, display control method, and program
JP5691597B2 (ja) 2011-02-10 2015-04-01 ソニー株式会社 近接通信装置、表示制御方法およびプログラム
US8120518B2 (en) * 2010-06-14 2012-02-21 Koren Advanced Institute of Science and Technology Digital feedforward sigma-delta modulator in analog-to-digital converter and modulation method thereof
EP2477418B1 (en) * 2011-01-12 2014-06-04 Nxp B.V. Signal processing method
US20140167995A1 (en) * 2011-04-11 2014-06-19 Agency For Science, Technology And Research Analog-to-digital converter
TWI445322B (zh) * 2011-08-26 2014-07-11 Nat Univ Chung Cheng A triangular integral modulator synthesis method for reducing circuit specifications and reducing power consumption
US8791847B1 (en) * 2013-01-24 2014-07-29 Freescale Semiconductor, Inc. Sigma-delta modulator with trimmed reference voltage for quantizer
US8830107B1 (en) * 2013-04-16 2014-09-09 Udo Karthaus Frequency translating analog-to-digital converter
WO2014189931A1 (en) 2013-05-23 2014-11-27 Knowles Electronics, Llc Vad detection microphone and method of operating the same
US10020008B2 (en) 2013-05-23 2018-07-10 Knowles Electronics, Llc Microphone and corresponding digital interface
US9711166B2 (en) 2013-05-23 2017-07-18 Knowles Electronics, Llc Decimation synchronization in a microphone
US9502028B2 (en) 2013-10-18 2016-11-22 Knowles Electronics, Llc Acoustic activity detection apparatus and method
US9147397B2 (en) 2013-10-29 2015-09-29 Knowles Electronics, Llc VAD detection apparatus and method of operating the same
US9769550B2 (en) 2013-11-06 2017-09-19 Nvidia Corporation Efficient digital microphone receiver process and system
US9454975B2 (en) * 2013-11-07 2016-09-27 Nvidia Corporation Voice trigger
US9106255B1 (en) * 2014-08-20 2015-08-11 Maxim Integrated Products, Inc. Digital technique for excess loop delay compensation in a continuous-time delta sigma modulator
US9912144B2 (en) * 2014-09-04 2018-03-06 Analog Devices Global Embedded overload protection in delta-sigma analog-to-digital converters
US9830080B2 (en) 2015-01-21 2017-11-28 Knowles Electronics, Llc Low power voice trigger for acoustic apparatus and method
US10121472B2 (en) 2015-02-13 2018-11-06 Knowles Electronics, Llc Audio buffer catch-up apparatus and method with two microphones
US9537497B2 (en) * 2015-05-14 2017-01-03 Mediatek Inc. Continuous time delta sigma modulator, analog to digital converter and associated compensation method
US9478234B1 (en) 2015-07-13 2016-10-25 Knowles Electronics, Llc Microphone apparatus and method with catch-up buffer
DE102015116786A1 (de) 2015-10-02 2017-04-06 Infineon Technologies Ag Vorrichtungsverfahren zum Testen eines Analog-Digital Wandlers
US10038425B1 (en) * 2015-12-28 2018-07-31 Apple Inc. Sum of differences filter
US10020818B1 (en) 2016-03-25 2018-07-10 MY Tech, LLC Systems and methods for fast delta sigma modulation using parallel path feedback loops
US10530372B1 (en) 2016-03-25 2020-01-07 MY Tech, LLC Systems and methods for digital synthesis of output signals using resonators
WO2018094380A1 (en) 2016-11-21 2018-05-24 MY Tech, LLC High efficiency power amplifier architectures for rf applications
US10277238B2 (en) * 2017-02-02 2019-04-30 Texas Instruments Incorporated Normalizing error signal in analog-to-digital converter runaway state
US10305506B2 (en) * 2017-08-30 2019-05-28 Infineon Technologies Ag Pad asymmetry compensation
JP7139588B2 (ja) * 2017-09-22 2022-09-21 カシオ計算機株式会社 変換装置、電子楽器、情報処理装置、変換方法及びプログラム
US20210376844A1 (en) * 2020-06-02 2021-12-02 Short Circuit Technologies Llc Event Driven Quasi-Level Crossing Delta Modulator Analog To Digital Converter With Adaptive Resolution
CN113206671B (zh) * 2021-01-05 2023-11-28 珠海市杰理科技股份有限公司 基于VCO实现的Sigma-Delta调制器及音频设备
US11933919B2 (en) 2022-02-24 2024-03-19 Mixed-Signal Devices Inc. Systems and methods for synthesis of modulated RF signals

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851841A (en) 1987-10-02 1989-07-25 Crystal Semiconductor Corporation Gain scaling of oversampled analog-to-digital converters
EP0586021B1 (en) * 1990-01-31 1996-11-27 Analog Devices, Inc. Digital noise shaper circuit
FI88765C (fi) * 1991-04-09 1993-06-28 Nokia Mobile Phones Ltd Foerfarande och arrangemang foer stabilering av en hoegre grads sigma-delta-modulator
US5654711A (en) * 1995-06-07 1997-08-05 Asahi Kasei Microsystems Ltd. Analog-to-digital converter with local feedback
KR100334057B1 (ko) * 1999-06-26 2002-04-26 윤덕용 혼합모드 적분기를 이용한 시그마-델타 아날로그-디지털 변환기
GB2386280B (en) * 2002-03-07 2005-09-14 Zarlink Semiconductor Inc Digital microphone
US6822594B1 (en) 2003-10-09 2004-11-23 Cirrus Logic, Inc. Overload protection and stability for high order 1-bit delta-sigma modulators
US7315268B1 (en) * 2006-06-15 2008-01-01 Freescale Semiconductor Inc. Integrator current matching
US7868803B2 (en) * 2006-10-13 2011-01-11 Panasonic Corporation Semiconductor device having ΔΣ modulator, and semiconductor system

Also Published As

Publication number Publication date
US20090309774A1 (en) 2009-12-17
JP2009303157A (ja) 2009-12-24
US7936293B2 (en) 2011-05-03

Similar Documents

Publication Publication Date Title
JP4890503B2 (ja) デルタシグマ変調器
US8325074B2 (en) Method and circuit for continuous-time delta-sigma DAC with reduced noise
US8953813B2 (en) Reduced delay digital active noise cancellation
US7460046B2 (en) Sigma-delta modulators
US6724332B1 (en) Noise shaping circuits and methods with feedback steering overload compensation and systems using the same
US8421660B1 (en) Configurable cascading sigma delta analog-to digital converter (ADC) for adjusting power and performance
US20060282185A1 (en) Device and method for signal processing
JP4908548B2 (ja) ハイブリッドデルタシグマadc
US20040189503A1 (en) Data converters with digitally filtered pulse width modulation output stages and methods and systems using the same
JPH04229723A (ja) 高次シグマ・デルタアナログ/デジタル変換器
US9019136B2 (en) Sigma-delta modulators with high speed feed-forward architecture
US10348326B2 (en) Digital silicon microphone with interpolation
JP2008067181A (ja) デルタシグマ変調器の制御方法およびデルタシグマ変調器
US7436336B2 (en) Analog digital converter (ADC) having improved stability and signal to noise ratio (SNR)
US9769550B2 (en) Efficient digital microphone receiver process and system
JP3636130B2 (ja) トレリス型ノイズシェイピング変調器
Liu et al. 24-bit low-power low-cost digital audio sigma-delta DAC
GB2541861A (en) Digital to analogue conversion
JP3131429U (ja) シグマデルタ回路
JP2008187315A (ja) Δς変調器、その制御方法、ならびにそれら用いたデジタルオーディオ処理回路ならびに電子機器
JP2006191176A (ja) スイッチング増幅器
JP2005184337A (ja) スイッチング増幅器
CN113659939A (zh) 一种基于闭环负反馈的upwm失真校正方法及该方法构建的数字upwm调制器
Lee et al. A Class‐D Amplifier for a Digital Hearing Aid with 0.015% Total Harmonic Distortion Plus Noise
KR20090072053A (ko) 단일 증폭기 아키텍처를 이용한 타임 인터리브 시그마-델타변조기

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111021

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111214

R150 Certificate of patent or registration of utility model

Ref document number: 4890503

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141222

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350