JP4263995B2 - フォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法 - Google Patents

フォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法 Download PDF

Info

Publication number
JP4263995B2
JP4263995B2 JP2003430047A JP2003430047A JP4263995B2 JP 4263995 B2 JP4263995 B2 JP 4263995B2 JP 2003430047 A JP2003430047 A JP 2003430047A JP 2003430047 A JP2003430047 A JP 2003430047A JP 4263995 B2 JP4263995 B2 JP 4263995B2
Authority
JP
Japan
Prior art keywords
photoresist
film
photoresist polymer
weight
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003430047A
Other languages
English (en)
Other versions
JP2004307813A (ja
Inventor
成煥 朴
昌奐 李
三永 ▲ちょ▼
▼い▲鎔 金
錫壹 尹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2004307813A publication Critical patent/JP2004307813A/ja
Application granted granted Critical
Publication of JP4263995B2 publication Critical patent/JP4263995B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体素子を製造するためのフォトレジストパターン形成工程において、エッチング(Etching)工程及びアッシング(Ashing)工程時に発生するフォトレジスト残留物等を効果的に除去することができるフォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法に関する。
一般的に、半導体素子の製造工程では、半導体基板上に形成された導電層上にフォトレジストパターンを形成した後、得られたフォトレジストパターンをマスクに用いて、パターンに覆われない部位の導電層をエッチングし、除去することにより、導電層パターンを形成するリソグラフィー工程を数十回繰り返す。
最近、多機能かつ高性能な超高集積回路半導体素子が製造されており、これら超高集積回路半導体素子の製造工程におけるエッチング工程は、制御が容易であり、シャープなパターンを得ることができる等の理由から、主に乾式で行われている。乾式エッチング工程は、混合酸の液状組成物を用いた湿式エッチング工程の代替工程であり、プラズマエッチングガスと導電層膜との間で行われる気相−固相反応が用いられている。
しかし、乾式エッチング工程の場合、フォトレジスト膜の表面でプラズマエッチングガスに含まれたイオン及びラジカルが、フォトレジスト膜と複雑な化学反応を起こしてフォトレジストが急速に硬化し、パフィン(Puffing)現象が発生する。これによって、フォトレジスト残査(Residue)が生じ、フォトレジストの除去が困難になる。特に、アルミニウム膜、アルミニウム合金膜及びチタニウムナイトライド膜のような金属導電層を乾式エッチングする場合、金属導電層の側壁部に存在するフォトレジストポリマーが化学的に変質硬化するため、導電層パターン形成工程以後のストリップ(Strip)工程においてフォトレジストリムーバー(Remover)により除去されなければならないにもかかわらず、各種のリムーバーを用いてもこれを除去することが困難である。
フォトレジストの除去に用いられる代表的なリムーバーとして、従来主流であった湿式エッチング工程に用いられるリムーバーが挙げられ、これらのリムーバー組成物には、有機アミン類化合物と各種の有機溶剤とが混合されている。特に、有機アミン類化合物のうちでもモノエタノールアミン(Mono Ethanol Amine)を必須成分として含有するリムーバー組成物が広く用いられている。
しかし、最近の半導体素子の製造工程では、シリコンウェーハを始めとした各種の基板を110〜140℃の高温で処理するなど、過激な条件下で用いられるために、湿式エッチング工程に用いられるリムーバーでは、高温でベーク処理されたフォトレジストに対して十分な除去能力が発揮されない。
そこで、高温でベーク処理されたフォトレジストを除去するためのリムーバー組成物として、水、ヒドロキシルアミン類又はこれらの混合物を含むリムーバー組成物などが開発されている。
しかし、これらのリムーバー組成物も、超高集積回路の製造に用いられる乾式エッチング又はアッシング工程においてフォトレジストがプラズマガスに露出されるため、露出により化学的に変質硬化したフォトレジストポリマーを十分に除去できないという事実が明らかになっている。
一方、アッシング処理を行う半導体基板は通常200℃以上の高温で加熱処理する。この時、フォトレジスト内部に残存する溶剤が気化されて排出されなければならないが、アッシング工程後のフォトレジスト表面には硬化層が存在するため、溶剤の排出が不可能となる。従って、アッシング処理に伴い、フォトレジスト膜内部の内圧が上昇しながら、内部に残存する溶剤によってフォトレジスト膜表面が破裂し、パフィン現象が発生する。
上述したように、これらパフィン現象によって飛散した表面の硬化層は残査となり、一般のリムーバー組成物では除去することが困難である。このように変質したフォトレジストは、残査とパーティクルに変化することで汚染源となり、超高集積回路製造時に生産収率を低下させる原因となる。特に、フォトレジストを除去するために、ストリップ工程前にアッシング工程を行う場合、フォトレジスト層の変質化がさらに進行し、ストリップ工程において不良が発生することになる。
このようなフォトレジスト変質硬化層を効果的に除去するための方法として、数多くのアッシング工程が提案されている。そのうちの一つとして、通常のアッシングを行った後、2次アッシングを再実施する2段階アッシング法が報告されている(例えば、非特許文献1参照。)。
しかし、2段階アッシング法も、工程が複雑であり、対応策として装置の大型化を図ると、大型化に伴い生産収率が低下するという弊害が生じる。
以上の点を考慮すると、最終的にはフォトレジストポリマー除去用洗浄剤組成物を用いたストリップ工程を用いるしかなく、このうち、近来提案されたヒドロキシルアミン、アルカノールアミン、防蝕剤(Corrosion Inhibitor)及び水からなるフォトレジストポリマー除去用洗浄剤組成物が、相対的に変質硬化したフォトレジストポリマーに対して有効な除去能力を発揮する特性を有することから、広く用いられている。
Fujimura、日本春季応用物理学会予告集、1989年、p.196−197
しかしながら、ヒドロキシルアミン、アルカノールアミン、防蝕剤(Corrosion Inhibitor)及び水からなるフォトレジストポリマー除去用洗浄剤組成物も、金属配線として新規金属膜が用いられる、または層間絶縁膜として新規の絶縁物質が用いられる256M DRAM級以上の半導体量産ラインに使用された場合、金属配線又は層間絶縁膜の側壁に存在するフォトレジストポリマーの除去が不完全であることが判明している。
本発明は前記した点に鑑みてなされたものであり、金属配線形成工程、ビアホールパターン形成工程及びその他のパターン形成工程で乾式エッチング又はアッシング工程によってフォトレジスト下部膜の側壁及び底部に変質硬化して形成されるフォトレジストポリマーを短時間内に容易に除去することができ、下部膜が金属膜である場合には、金属膜腐蝕を最少化することができるフォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法を提供することを目的とする。
請求項1に記載の発明に係るフォトレジストポリマー除去用洗浄剤組成物は、(a)硫酸5〜15重量%、(b)過酸化水素1〜5重量%又はオゾン0.0001〜0.05重量%、(c)アセト酸0.1〜5重量%、(d)フッ化アンモニウム0.0001〜0.5重量%及び(e)残量の水を含有することを特徴とする。
請求項2に記載の発明に係るフォトレジストポリマー除去用洗浄剤組成物は、前記フォトレジストポリマー除去用洗浄剤組成物が、(a)硫酸7〜10重量%、(b)過酸化水素2〜4重量%又はオゾン0.0002〜0.001重量%、(c)アセト酸0.5〜2重量%、(d)フッ化アンモニウム0.01〜0.05重量%及び(e)残量の水を含有することを特徴とする。
請求項3に記載の発明に係るフォトレジストポリマー除去用洗浄剤組成物は、前記フォトレジストポリマー除去用洗浄剤組成物が、乾式エッチング用洗浄剤であることを特徴とする。
請求項4に記載の発明に係るフォトレジストパターン洗浄方法は、(a)硫酸5〜15重量%、(b)過酸化水素1〜5重量%又はオゾン0.0001〜0.05重量%、(c)アセト酸0.1〜5重量%、(d)フッ化アンモニウム0.0001〜0.5重量%及び(e)残量の水を含有するフォトレジストポリマー除去用洗浄剤組成物を用いることを特徴とする。
本発明に係るフォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法によれば、超高集積回路などの半導体素子を製造する工程において、半導体素子の洗浄工程に用いられる乾式エッチング工程及びアッシング工程によって変質硬化した下部膜の側壁及び底部に存在するフォトレジストポリマーを短時間内に容易に除去することができ、特に、アルミニウム膜、アルミニウム合金膜またはタングステン膜などが下部金属膜として用いられた場合、下部金属膜の側壁に形成されたフォトレジストポリマーを効果的に除去することができる。
これによって、フォトレジストポリマーの除去を完璧にしながら、256M DRAM級以上の超高集積回路半導体量産ラインに適用される新規下部金属膜に対する腐蝕を最少化することができ、さらに、ビアホールパターン形成工程で積層された膜などのうち、FOX膜のようなHSQ膜に対するアタック(Attack)現象の発生を抑制することができる。
以下、本発明に係るフォトレジストポリマー除去用洗浄剤組成物について詳しく説明する。
本発明に係るフォトレジストポリマー除去用洗浄剤組成物は、(a)硫酸(sulfuric acid)5〜15重量%、(b)過酸化水素(hydrogen peroxide)1〜5重量%又はオゾン0.0001〜0.05重量%、(c)アセト酸(acetic acid)0.1〜5重量%、(d)フッ化アンモニウム(Ammonium Fluoride)0.0001〜0.5重量%及び(e)残量の水を含有する。
なお、硫酸は5〜15重量%含まれることが好ましく、7〜10重量%含まれるのがさらに好ましい。硫酸の含量が5重量%未満であれば、フォトレジストポリマー洗浄力が低下し、15重量%を超過すれば、金属膜が腐蝕する可能性があるからである。
また、過酸化水素は1〜5重量%含まれるのが好ましく、2〜4重量%含まれるのがさらに好ましい。過酸化水素の含量が1重量%未満であれば、フォトレジストポリマー洗浄力が低下し、5重量%を超過すれば経済性が低下するからである。
なお、過酸化水素は、必要に応じて強力な酸化剤であるオゾンに替えることができる。過酸化水素に替えてオゾンを用いる場合には、少ない濃度で効果的にフォトレジスト残留物を除去することができる。オゾンを用いる場合、オゾンの含量は0.0001〜0.05重量%含まれるのが好ましく、0.0002〜0.001重量%含まれるのがさらに好ましい。オゾンの含量が0.0001重量%未満であれば、フォトレジストポリマー洗浄力が低下し、0.05重量%を超過すれば経済性が低下するからである。
アセト酸は0.1〜5重量%含まれるのが好ましく、0.5〜2重量%含まれるのがさらに好ましい。アセト酸の含量が0.1重量%未満であれば、フォトレジストポリマー洗浄力が低下し、5重量%を超過すれば、金属膜の腐蝕が進行する問題点があるからである。
また、フッ化アンモニウムは0.0001〜0.5重量%含まれるのが好ましく、0.01〜0.05重量%含まれるのがさらに好ましい。フッ化アンモニウムの含量が0.0001重量%未満であれば、パターン形成工程で乾式エッチング及びアッシング工程などによって下部膜の側壁及び底部に存在する変質したフォトレジストポリマーを完全に除去することが難しく、0.5重量%を超過すれば、ビアホールパターンで積層された膜などのうち、FOX(Flowable Oxide)膜のようなHSQ(Hydrogen Silsesquioxane)膜で浸蝕現象が進行する問題点があるからである。
さらに、硫酸、過酸化水素又はオゾン、アセト酸及びフッ化アンモニウム以外の残りの成分として、水が含有されるが、水はイオン交換樹脂を介して濾過した純水を用いるのが好ましく、比抵抗が18MΩ以上の超純水を用いるのがさらに好ましい。
次に、フォトレジストポリマー除去用洗浄剤組成物を用いたフォトレジストパターン洗浄方法及び半導体素子の製造方法について説明する。
フォトレジストポリマー除去用洗浄剤組成物を用いた半導体素子の製造方法は、下記の工程を具備する。
(a)被エッチング層が形成された半導体基板を準備する工程、
(b)前記被エッチング層の上部にフォトレジストパターンを形成する工程、
(c)前記フォトレジストパターンをエッチングマスクに用いて被エッチング層を選択的にエッチングする工程、
(d)前記(c)工程で得られた結果物をフォトレジストポリマー除去用洗浄剤組成物で洗浄し、残留するフォトレジストポリマーを除去することにより被エッチング層パターンを形成する工程。
まず始めに、(a)工程において、半導体基板上に薄膜状の被エッチング層が形成される。
なお、被エッチング層は金属膜又は絶縁膜であり、金属膜には、アルミニウム膜、アルミニウム合金膜、チタニウム膜、チタニウムナイトライド膜、タングステン膜又はこれらの積層膜などを用いることができ、下部からチタニウムナイトライド膜/アルミニウム膜/チタニウム膜の積層膜であるのが好ましい。また、被エッチング層が絶縁膜である場合、絶縁膜はHSQ膜であるのが好ましく、一般的に、絶縁膜の下部に金属膜が形成される。
後続の(b)工程では、フォトレジストの塗布、露光及び現像作業からなるフォトリソグラフィー工程によって、得られた被エッチング層の上部にフォトレジストパターンが形成される。
なお、フォトレジストに含有される重合体は、一般的なフォトレジスト重合体であれば、何れも使用可能である。
また、露光作業の際に用いられる露光源には、ArF(193nm)、KrF(248nm)、F2(157nm)、EUV(13nm)、E−ビーム、X−線またはイオンビームを用いることができる。さらに、露光前及び露光後には、ベーク工程を行う。
(b)工程で得られたフォトレジストパターンは、後続の(c)工程においてエッチングマスクとして用いられ、乾式エッチングにより被エッチング層が選択的にエッチングされる。
なお、乾式エッチング以後及び後述する(d)工程における洗浄工程前に、アッシング処理を行いフォトレジストパターンが除去される工程を更に含むことができる。
最後に、(c)工程で得られた結果物が、後続の(d)工程においてフォトレジストポリマー除去用洗浄剤組成物により洗浄され、残留するフォトレジストポリマーが除去されることで被エッチング層パターンが形成される。
なお、被エッチング層パターンは絶縁膜ホール(Hole)パターン又は金属ライン(Line)/スペース(Space)パターンのすべてが可能である。
また、マスクを用いたフォトレジストパターン形成工程を行わずに、エッチバック(Etchback)工程のような乾式エッチング工程又はCMP(Chemical Mechanical Polishing)工程が行われた後に、露出したフォトレジスト膜をフォトレジストポリマー除去用洗浄剤組成物で洗浄する方法も含むことができる。
さらに、(d)工程における洗浄工程には、シングルタイプ(Single Type)又はバッチタイプ(Batch Type)湿式洗浄装置を用いて行うことができ、洗浄条件は除去すべきフォトレジスト物質の状態によって異なることもあり得るが、一般的には、常温〜60℃の間のケミカル(Chemical)を用いて約10〜60秒ほど浸漬させることにより、フォトレジストポリマーを完璧に除去することができる。
以下、本発明を実施例によって詳しく説明する。但し、実施例は発明を例示するだけで、本発明が下記の実施例によって限定されるものではない。一方、下記の実施例で別途の言及がない限り、百分率及び混合比は重量を基準としたものとする。
予備実験 フォトレジストポリマー除去用洗浄剤組成物の製造
下記の表1に示した比率で各成分などを混合し、それぞれ実施例1〜5及び比較例1〜3のフォトレジストポリマー除去用洗浄剤組成物を製造した。
実施例及び比較例のフォトレジストポリマー除去用洗浄剤組成物に対する性能評価は、下記の実験例の方法によって行われた。
実験例1.ポリマー除去試験
(1)試片Aの製造
まず始めに、チタニウムナイトライド膜、アルミニウム膜及びチタニウム膜が下記からそれぞれ順に100Å、8000Å及び400Åの厚さで蒸着されている8インチシリコンウェーハ表面に、汎用的に用いられるポジチブ型レジスト組成物((株)東進セミケム製造、商品名:DPR−i1000)をスピンコーティングして、最終膜厚さが1.01μmになるようにレジスト膜を形成した。
次に、ハットプレートでレジスト膜を110℃で90秒間、プレベーク(Pre-bake)した後、前記レジスト膜上に所定のパターンのマスクを位置させて紫外線を照射し、さらに、2.38重量%テトラメチルアンモニウムハイドロキサイド(TMAH)現像液を用いて21℃の温度で60秒間現像してフォトレジストパターンを形成した。その後、ハットプレートで前記フォトレジストパターンが形成された試片を120℃で100秒間ハードベークした。
試片に形成されたフォトレジストパターンをマスクとして、またCl/BCl混合ガスをエッチングガスとして用いて、乾式エッチング装置(アプライドマティリアル社、モデル名:DPS+)により、下部のチタニウムナイトライド膜、アルミニウム膜及びチタニウム膜を、EPD(End Point Detection)+45秒間エッチングした。
最後に、Oプラズマを用いたアッシング装置を用いてフォトレジストのほとんどを除去し、試片Aを得た。このように製造された試片Aの断面を図2に示すが、図2が示すように試片の側面にフォトレジストポリマーが存在する。
(2)フォトレジストポリマー除去試験
まず始めに、得られた試片Aを常温で実施例1〜5及び比較例1〜3のそれぞれのフォトレジストポリマー除去用洗浄剤組成物に浸漬させた後、各試片を洗浄剤組成物から取り出し、超純水で水洗し窒素ガスで乾燥させた。その後、パターンの側壁周りとラインパターン表面とにフォトレジストポリマー残留物が付着しているかどうかの可否を走査型電子顕微鏡(SEM、日立社製品、モデル名:S−5000)で検査してフォトレジストポリマー除去性能を評価し、その結果を下記の表2、図1及び図3に示した。
表2に示すように、本発明による実施例1〜5と比較例1の場合、比較例2及び3に比べてポリマー除去性能が遥かに優れていることが分かった。
さらに、上記の評価結果について、SEM写真を参照しながら検証を行う。ここで、図1及び図3はそれぞれ本発明の実施例1及び比較例2のフォトレジストポリマー除去用洗浄剤組成物を用いてフォトレジストポリマーを除去した結果のSEM写真である。
SEM写真が示すように、本発明の実施例1のフォトレジストポリマー除去用洗浄剤組成物を用いた場合には、フォトレジストポリマーが綺麗に除去されたが、比較例2の洗浄剤組成物を用いた場合には、フォトレジストポリマーが除去されずにそのまま残っていた。
実験例2.金属膜腐蝕性試験
(1)試片Bの製造
実験例1の試片Aと同一の製造方法により試片Bを準備した。
(2)金属膜腐蝕性試験
試片Bを常温で、実施例1〜5及び比較例1〜3のフォトレジストポリマー除去洗浄剤組成物に浸漬させた後、試片を洗浄剤組成物から取り出し、超純水で水洗し窒素ガスで乾燥させた。その後、下部金属膜におけるアンダカット(Undercut)現象の発生の有無をSEMで検査して、腐蝕程度を下記の表3に示した。
表3に示すように、実施例1〜5の場合には、浸漬時間が5分経過しても下部金属膜にアンダカット現象がなかったが、比較例1の場合には、初期1分のみ浸漬しても下部金属膜にアンダカット現象が甚だしく表れた。また、比較例2及び3の場合には、金属膜腐蝕試験結果は良好であったが、表2に示したように、ラインパターンの側壁と表面とに付着したレジストポリマー残留物がほとんど除去されず、使用に適していないことが分かった。
さらに、上記の評価結果について、SEM写真を参照しながら検証を行う。ここで、図4及び図5は、本発明の実施例1及び比較例1のフォトレジストポリマー除去用洗浄剤組成物を用いて常温で金属膜腐蝕性を実験した結果のSEM写真である。
SEM写真が示すように、実施例1の組成物を用いた場合には、下部金属膜にアンダカット現象がなかったが、比較例1の組成物を用いた場合には、下部金属膜にアンダカット現象が甚だしく表れた。
実験例3.生産ラインへの適用
本発明に係るフォトレジストポリマー除去用洗浄剤組成物を実際の半導体素子生産ラインに適用して評価した結果を、下記の表4(ホールパターン形成工程)及び表5(ラインパターン形成工程)に示した。
表4に示した具体的な工程は、下記の通りである。
(64MSD G/工程1 後洗浄)
まず始めに、半導体基板上にチタニウム膜/チタニウムナイトライド膜をそれぞれ200Å/800Åの厚さで形成し、その上部にタングステン(W)膜を4000Åの厚さで形成した。その後、乾式エッチング装備を用いてSFガスでタングステン膜をエッチバック工程で乾式エッチングし、その上部にチタニウム膜/アルミニウム−銅膜/チタニウムナイトライド膜をそれぞれ100Å/8000Å/400Åの厚さで形成した。
次に、膜形成された半導体基板の上部にフォトレジストパターンを形成した後、フォトレジストパターンをマスクとして用いた乾式エッチング装置によってメタルラインをBCl3/Cl2ガスで乾式エッチングし、連続的にO2/CF4/H2Oガスを利用してフォトレジスト膜を除去した。
さらに、本発明に係るフォトレジストポリマー除去用洗浄剤組成物を用いたシングルタイプ湿式洗浄装置により、30℃の温度を維持しながら30秒間、400RPM(Revolutions Per Minute)の速度でウェーハを回転させ、再び超純水で60秒間洗浄処理を行った。最後に、スピンドライ(Spin Dry)を用いて2900RPMの速度で30秒間乾燥させ、この結果を表4に示した。
(256M DDR(BC)/工程1 後洗浄)
まず始めに、半導体基板上にチタニウム膜/チタニウムナイトライド膜をそれぞれ200Å/100Åの厚さで形成し、その上部にタングステン(W)膜を4000Åの厚さで形成し、さらにその上部にチタニウム膜/アルミニウム−銅膜/チタニウム膜/チタニウムナイトライド膜をそれぞれ100Å/4000Å/100Å/750Åの厚さで形成した。
次に、膜形成された半導体基板の上部にフォトレジストパターンを形成した後、フォトレジストパターンをマスクとして用いた乾式エッチング装置によってメタルラインをBCl3/Cl2ガスで乾式エッチングし、連続的にO2/CF4/H2Oガスを用いてフォトレジスト膜を除去した。
さらに、本発明に係るフォトレジストポリマー除去用洗浄剤組成物を用いたシングルタイプ湿式洗浄装置により、30℃の温度を維持しながら30秒間、400RPMの速度でウェーハを回転させ、再び超純水で60秒間洗浄処理を行った。最後に、スピンドライを用いて2900RPMの速度で30秒間乾燥させ、この結果を表4に示した。
表5に示した具体的な工程は、下記の通りである。
(256M DDR(BC)/工程2 後洗浄)
まず始めに、半導体基板上にチタニウム膜/チタニウムナイトライド膜をそれぞれ200Å/800Åの厚さで形成し、その上部にタングステン(W)膜を4000Åの厚さで形成した。その後、乾式エッチング装置を用いてSFガスでタングステン膜をエッチバック工程で乾式エッチングし、その上部にチタニウム膜/アルミニウム−銅膜/チタニウムナイトライド膜をそれぞれ100Å/8000Å/400Åの厚さで形成した。
次に、膜形成された半導体基板の上部にフォトレジストパターンを形成した後、フォトレジストパターンをマスクとして用いた乾式エッチング装置によってメタルラインをBCl3/Cl2ガスで乾式エッチングし、連続的にO2/CF4/H2Oガスを用いてフォトレジスト膜を除去した。
本発明に係るフォトレジストポリマー除去用洗浄剤組成物を用いたシングルタイプ湿式洗浄装置により、30℃の温度を維持しながら30秒間、400RPMの速度でウェーハを回転させ、再び超純水で60秒間洗浄処理を行った。最後に、スピンドライを用いて2900RPMの速度で30秒間乾燥させ、その結果を表5に示した。
(128M DDR(BC)/工程3 後洗浄)
まず始めに、半導体基板上にチタニウム膜/チタニウムナイトライド膜をそれぞれ200Å/100Åの厚さで形成し、その上部にタングステン(W)膜を4000Åの厚さで形成した。その後、得られたタングステン膜の上部にチタニウム膜/アルミニウム−銅膜/チタニウム膜/チタニウムナイトライド膜をそれぞれ100Å/4000Å/100Å/750Åの厚さで形成した。
次に、膜形成された半導体基板の上部にフォトレジストパターンを形成した後、フォトレジストパターンをマスクとして用いた乾式エッチング装置によってメタルラインをBCl3/Cl2ガスで乾式エッチングし、連続的にO2/CF4/H2Oガスを用いてフォトレジスト膜を除去した。
さらに、本発明に係るフォトレジストポリマー除去用洗浄剤組成物を用いたシングルタイプ湿式洗浄装置により、30℃の温度を維持しながら30秒間、400RPMの速度でウェーハを回転させ、再び超純水で60秒間洗浄処理を行った。最後に、スピンドライを用いて2900RPMの速度で30秒間乾燥させ、その結果を表5に示した。
表4及び表5に示すように、本発明に係るフォトレジストポリマー除去用洗浄剤組成物を実際の生産ラインに適用した場合、試片で実験した場合のように、フォトレジストポリマーが綺麗に除去されるばかりでなく、洗浄後、ポリマーの残留、腐蝕、側壁アタック及びアンダカット等の問題点がまったく発見されなかった。
本発明に係る実施例1のフォトレジストポリマー除去用洗浄剤組成物を用い、常温でフォトレジストポリマー除去性能試験を行った結果を示すSEM写真である。 フォトレジストを塗布してパターンを形成し、乾式エッチング工程及びアッシング工程を行った後の状態のパターンを示すSEM写真である。 比較例2のフォトレジストポリマー除去用洗浄剤組成物を用い、常温でフォトレジストポリマー除去性能試験を行った結果を示すSEM写真である。 本発明に係る実施例1のフォトレジストポリマー除去用洗浄剤組成物を用い、常温で金属膜腐蝕性試験を行った結果を示すSEM写真である。 比較例1のフォトレジストポリマー除去用洗浄剤組成物を用い、常温で金属膜腐蝕性試験を行った結果を示すSEM写真である。

Claims (4)

  1. (a)硫酸5〜15重量%、(b)過酸化水素1〜5重量%又はオゾン0.0001〜0.05重量%、(c)アセト酸0.1〜5重量%、(d)フッ化アンモニウム0.0001〜0.5重量%及び(e)残量の水を含有することを特徴とするフォトレジストポリマー除去用洗浄剤組成物。
  2. 前記フォトレジストポリマー除去用洗浄剤組成物が、(a)硫酸7〜10重量%、(b)過酸化水素2〜4重量%又はオゾン0.0002〜0.001重量%、(c)アセト酸0.5〜2重量%、(d)フッ化アンモニウム0.01〜0.05重量%及び(e)残量の水を含有することを特徴とする請求項1に記載のフォトレジストポリマー除去用洗浄剤組成物。
  3. 前記フォトレジストポリマー除去用洗浄剤組成物が、乾式エッチング用洗浄剤であることを特徴とする請求項1に記載のフォトレジストポリマー除去用洗浄剤組成物。
  4. (a)硫酸5〜15重量%、(b)過酸化水素1〜5重量%又はオゾン0.0001〜0.05重量%、(c)アセト酸0.1〜5重量%、(d)フッ化アンモニウム0.0001〜0.5重量%及び(e)残量の水を含有するフォトレジストポリマー除去用洗浄剤組成物を用いることを特徴とするフォトレジストパターン洗浄方法。
JP2003430047A 2003-04-08 2003-12-25 フォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法 Expired - Lifetime JP4263995B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030022006A KR100610452B1 (ko) 2003-04-08 2003-04-08 포토레지스트 폴리머 제거용 세정제 조성물

Publications (2)

Publication Number Publication Date
JP2004307813A JP2004307813A (ja) 2004-11-04
JP4263995B2 true JP4263995B2 (ja) 2009-05-13

Family

ID=33128977

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003430047A Expired - Lifetime JP4263995B2 (ja) 2003-04-08 2003-12-25 フォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法

Country Status (6)

Country Link
US (1) US6887655B2 (ja)
JP (1) JP4263995B2 (ja)
KR (1) KR100610452B1 (ja)
CN (1) CN1277156C (ja)
DE (1) DE10355319B4 (ja)
TW (1) TWI247198B (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100569515B1 (ko) * 2003-04-08 2006-04-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7235479B2 (en) * 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
KR100706822B1 (ko) * 2005-10-17 2007-04-12 삼성전자주식회사 절연 물질 제거용 조성물, 이를 이용한 절연막의 제거 방법및 기판의 재생 방법
JP2007194351A (ja) * 2006-01-18 2007-08-02 Shibaura Mechatronics Corp 基板の処理装置及び処理方法
WO2008138882A1 (en) * 2007-05-14 2008-11-20 Basf Se Method for removing etching residues from semiconductor components
US20090152600A1 (en) * 2007-10-22 2009-06-18 Texas Instruments Incorporated Process for removing ion-implanted photoresist
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
JP5839226B2 (ja) * 2011-11-08 2016-01-06 ナガセケムテックス株式会社 レジスト残渣除去組成物
US8734662B2 (en) * 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US10025412B2 (en) 2013-10-16 2018-07-17 Synaptics Incorporated In-cell low power modes
CN105087184A (zh) * 2014-05-22 2015-11-25 中芯国际集成电路制造(上海)有限公司 清洗试剂、清洗半导体器件中刻蚀残留物的方法及金属互连层的制作方法
CN109941957B (zh) * 2017-12-21 2021-06-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR20230067294A (ko) 2021-11-09 2023-05-16 동우 화인켐 주식회사 식각 잔여물 세정 조성물 및 이를 이용한 패턴 형성 방법
WO2023219987A1 (en) * 2022-05-11 2023-11-16 Lam Research Corporation Water-based pretreatment for photoresist scum removal

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6605230B1 (en) 1996-03-22 2003-08-12 Merck Patent Gmbh Solutions and processes for removal of sidewall residue after dry etching
WO1997050019A1 (en) 1996-06-25 1997-12-31 Cfm Technologies, Inc. Improved method for sulfuric acid resist stripping
JPH10256181A (ja) 1997-03-14 1998-09-25 Japan Energy Corp 半導体装置の製造方法
US6043005A (en) * 1998-06-03 2000-03-28 Haq; Noor Polymer remover/photoresist stripper
TW511180B (en) * 2000-07-31 2002-11-21 Mitsubishi Chem Corp Mixed acid solution in etching process, process for producing the same, etching process using the same and process for producing semiconductor device
US6799589B2 (en) * 2000-11-08 2004-10-05 Sony Corporation Method and apparatus for wet-cleaning substrate
US6391794B1 (en) * 2000-12-07 2002-05-21 Micron Technology, Inc. Composition and method for cleaning residual debris from semiconductor surfaces

Also Published As

Publication number Publication date
TWI247198B (en) 2006-01-11
KR100610452B1 (ko) 2006-08-09
JP2004307813A (ja) 2004-11-04
US6887655B2 (en) 2005-05-03
KR20040087562A (ko) 2004-10-14
CN1277156C (zh) 2006-09-27
TW200422792A (en) 2004-11-01
US20040202969A1 (en) 2004-10-14
DE10355319B4 (de) 2013-08-29
CN1536447A (zh) 2004-10-13
DE10355319A1 (de) 2004-11-25

Similar Documents

Publication Publication Date Title
TWI598430B (zh) 蝕刻組合物及其使用方法
JP5537126B2 (ja) エッチング残渣を除去するための組成物基板及びその使用
KR100368193B1 (ko) 수성 세정 조성물
TWI222996B (en) Aqueous cleaning solution for removing contaminants from surface of integrated circuit substrate and cleaning method using the same
JP5146445B2 (ja) 洗浄用組成物、半導体素子の製造方法
JP4263995B2 (ja) フォトレジストポリマー除去用洗浄剤組成物及びフォトレジストパターン洗浄方法
JP2006295118A (ja) 半導体素子の洗浄用組成物及びこれを用いた半導体素子の洗浄方法
JP2005507436A (ja) 洗浄組成物
JP2006096984A (ja) 残留物を除去するための組成物及び方法
JP2003129089A (ja) 洗浄用組成物
JP2007019506A (ja) 半導体基板用洗浄液組成物、該洗浄液組成物の製造方法、該洗浄液組成物を用いた半導体基板の洗浄方法、および該洗浄方法を含む半導体素子の製造方法
EP3599633B1 (en) Post etch residue cleaning compositions and methods of using the same
US20060091355A1 (en) Solution and method for removing ashing residue in Cu/low-k multilevel interconnection structure
JP4263996B2 (ja) 半導体素子の製造方法及びフォトリソグラフィー方法
WO2019019533A1 (zh) 清洗剂及其制备方法和应用
JP2021506131A (ja) 半導体基板からエッチング後または灰化後の残留物を除去するための洗浄剤組成物、およびそれに対応する製造方法
JP2005535784A (ja) 清浄液
JP2001520267A (ja) 半導体基板からの残留物をストリッピングするためのホウ酸アンモニウム含有組成物
JP5206177B2 (ja) レジスト剥離液組成物およびそれを用いた半導体素子の製造方法
KR102321217B1 (ko) 에칭 후 잔여물 세정 조성물 및 이의 사용 방법
JP2006059906A (ja) 洗浄液および洗浄法。
CN114437882A (zh) 清洁组合物及使用其的清洁方法
JP2006059908A (ja) 洗浄液および洗浄法。

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A132

Effective date: 20081028

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090213

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120220

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4263995

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130220

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140220

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term