JP3926296B2 - 半導体集積回路の性能分散系算出装置及びその算出方法 - Google Patents

半導体集積回路の性能分散系算出装置及びその算出方法 Download PDF

Info

Publication number
JP3926296B2
JP3926296B2 JP2003179490A JP2003179490A JP3926296B2 JP 3926296 B2 JP3926296 B2 JP 3926296B2 JP 2003179490 A JP2003179490 A JP 2003179490A JP 2003179490 A JP2003179490 A JP 2003179490A JP 3926296 B2 JP3926296 B2 JP 3926296B2
Authority
JP
Japan
Prior art keywords
calculated
calculation unit
variation
performance
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003179490A
Other languages
English (en)
Other versions
JP2005019524A (ja
Inventor
弘生 増田
敦 黒川
圭司 永瀬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2003179490A priority Critical patent/JP3926296B2/ja
Publication of JP2005019524A publication Critical patent/JP2005019524A/ja
Application granted granted Critical
Publication of JP3926296B2 publication Critical patent/JP3926296B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、例えば半導体集積回路の性能分散系算出装置とその算出方法に関する。
【0002】
【従来の技術】
大規模集積回路(LSI)の設計は、プロセス変動、電源電圧変動、温度変動に対するばらつきを含んだ動作性能の保証が必ず必要となる。特に、プロセス変動は、数100ステップの製造工程における全てのばらつき要因を考慮したワースト条件での設計が主流になっていた。ばらつき効果をトランジスタの性能に射影するために使われる手法は、ワースト設計手法とよばれている。
【0003】
非特許文献1には、ワースト設計手法の例が開示されている。このワースト設計手法は、図22に示すように、LSIの性能、例えば回路のスイッチング遅延(τ)が、プロセス制御要因P1〜P3のばらつきで変動する場合、P1に対するτの分布(τ−P1)において、P1のばらつき±3σ(σ:標準偏差)の極端条件3σw1,3σb1をワースト条件とする。同様にP2,P3に対しても各々ワースト条件を定義する。このようにして、P1〜P3のワースト条件を足し合わせると、P1〜P3の全てのばらつきに対するτの分布の実効的な極端条件は次式で表される。
【0004】
3σwe=3σw1+3σw2+3σw3
3σbe=3σb1+3σb2+3σb3
上式及び図22から明らかなように、従来のワースト設計手法では、現実には起こり得ない条件がワースト条件として計算されてしまう。実際、P1〜P3のワースト確率(各要因の分布が平均値(μ)±3σ以外の値をとる確率)を0.2%とすると、P1〜P3の全てのばらつきに対するτのワースト確率は0.0000008%となってしまい、殆んど生起することの無い条件になってしまう。つまり、ワースト設計手法によると、設計のマージン(余裕度)が必要以上に大きく設定されてしまう。
【0005】
また、非特許文献2には、実効的なワースト条件を、素子寸法に対する依存性も考慮しながら規定する手法が示されている。すなわち、図22に示す3σbe、3σweの幅を素子寸法を考慮して狭める手法が開示されている。しかしながら、この手法は、トランジスタ単体の特性分布を表現するものであり、LSI回路としての性能のばらつきを表現するには不十分である。
【0006】
さらに、非特許文献3には、ゲート回路の入出力信号の遅延の分布がどのように変化するかを計算する方法が示されている。この方法は、入出力信号の遅延分布のばらつきが、ゲート回路を通過することによって大きくなる効果を畳み込み積分計算で逐次計算する。しかし、通常のタイミング解析においては遅延のばらつきだけでなく、入出力波形のスルーレート(立ち上がり/立ち下りスピード)の分布も正確に取り扱わないと正しいタイミング解析が行えないことが知られている。しかし、この非特許文献3は、この点が十分に考慮されていない。また、この計算方法は、畳み込み積分を逐次行うため、計算に長時間を要し、LSIのような大規模集積回路のタイミング解析に使うことは困難である。このため、この計算方法が実用に供せられた例はない。
【0007】
【非特許文献1】
Avant!,“Star-Hspice Manual Release v.2000.2”,pp.478-483
【0008】
【非特許文献2】
James C.Chen et al.,“E-T Based Statistical Modeling and Compact Statistical Circuit Simulation Methodologies”,Proc.IEDM,pp 635-638,1996
【0009】
【非特許文献3】
Jing-Jia Liou et al.,“Fast Statistical Timing Analysis By Probabilistic Event Propagation”,Proc.DAC,pp 661-667,2001
【0010】
【発明が解決しようとする課題】
上記のように、LSIの設計は、従来ワースト条件での設計が主流となっていた。しかし、上記ワースト条件は、製造プロセスのばらつき範囲に対して極めて大きなマージンを設定することとなる。LSIの動作スピードが十分遅い場合は、大きいマージンを設定しても回路のタイミング設計は十分できた。しかし、最近の高速、且つ高集積LSIは、各種信号のタイミング調整が極めて複雑かつタイトになってきている。このため、従来のワースト条件を用いて設計しようとすると、タイミング調整を完全に満足できるような条件を見つけることが困難になってきている。
【0011】
本発明は、上記課題を解決するためになされたものであり、その目的とするところは、LSIの性能のばらつき(分散系)を算出することにより、プロセス要因等のばらつきがLSIの性能に与える影響を飛躍的に正確に算出でき、適正なマージンを設定可能な半導体集積回路の性能分散系算出装置とその算出方法を提供しようとするものである。
【0012】
【課題を解決するための手段】
本発明の一態様の半導体集積回路の性能分散系算出装置は、回路シミュレーションにより、レイアウトデータと回路データとから遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を算出する第1の算出部と、前記第1の算出部から供給される半導体装置の性能情報に基づき、プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因毎のばらつきを算出する第2の算出部と、前記第2の算出部で算出した要因毎のばらつきを確率統計分布の加法定理を用いて合成し、ばらつき分布情報を算出する第3の算出部と、前記第3の算出部により算出されたばらつき分布情報を記憶する記憶媒体とを具備している。
【0013】
また、本発明の半導体集積回路の性能分散系算出方法は、回路シミュレーションにより、レイアウトデータと回路データとから遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を算出し、算出された前記半導体装置の性能情報に基づき、プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因毎のばらつきを算出し、前記算出された要因毎のばらつきを確率統計分布の加法定理を用いて合成することにより、ばらつき分布情報を算出し、前記算出されたばらつき分布情報を記憶媒体に記憶することを特徴とする。
【0015】
【発明の実施の形態】
先ず、本発明の概要について説明する。
【0016】
本発明は、図1に示すように、プロセス制御要因P1〜P3がほぼ独立に正規分布でばらつくことに着目し、実効的なばらつき全体の指標値3σwe,3σbeを下記に示す関係式で計算するための装置及び方法を提供する。
【0017】
(3σwe)2 =(3σw1)2 +(3σw2)2 +(3σw3)2
(3σbe)2 =(3σb1)2 +(3σb2)2 +(3σb3)2
さらに、LSIの性能、例えば遅延及びスルーレートのばらつき・分散系を算出している。このため、従来、例えば不必要に大きなマージンのため適切なタイミング設計ができなかったが、本発明は、適正なマージンを設定することにより適正なタイミング設計を可能としている。
【0018】
また、本発明は、LSIの遅延及びスルーレートに限らず、LSIの性能を決定する性能指数として用いられる消費電力(Pw)、LSIの面積(A)、歩留まり(Y)に対しても同様に本発明の装置及び方法を適用できる。
【0019】
また、本発明は、プロセスばらつき要因に限らず、LSI内部の電源ばらつき、温度ばらつき要因等、他の要因にも本発明の装置及び方法を適用できる。
【0020】
また、本発明は、プロセス変動や電源変動、温度変動を直接大規模なLSIの特性のばらつきとして計算するのではなく、いわゆるゲートレベルのばらつきに写像して計算する。このため、高精度にばらつきを算出することができる。
【0021】
さらに、従来のばらつき設計は、トランジスタの特性ばらつきのみ対象としたものであった。これに対して、本発明はタイミング設計において重要な要因となる配線遅延のばらつきも算出することができる。
【0022】
また、本発明によれば、信号の品質(SI:Signal Integrity)に対する雑音の影響を、LSI性能のばらつきとして新規にモデル化できる。これにより、信号の品質の問題をばらつき問題として統一的、かつ定量的に取り扱うことができる。したがって、従来のように、複雑な複数の問題を単純化でき、効率的にLSIを設計できる。
【0023】
さらに、本発明によれば、いわゆるLSIの性能分布・性能歩留まりを定量的に明確化できる。これにより、従来不可能であった性能歩留まりを考慮したLSIのばらつき設計が可能となる。
【0024】
また、本発明は、設計段階でLSI全体の性能歩留まりだけでなく、マクロセルレベルのセットアップ/ホールド特性のエラーを確率的に正確に予測できる特徴がある。そのため非常にきめ細かいタイミング設計を定量的に行うことができ、従来とは違った設計手法を派生的に生み出すことが可能となる。
【0025】
本発明は、CMOS集積回路全般に適用することができる。特に、高速MPUや、0.25μm以下のプロセスを使うASIC等に適用した場合、大きな効果を期待できる。
【0026】
以下、本発明の実施の形態について図面を参照して説明する。
【0027】
(第1の実施形態)
第1の実施形態は、図1に示すように、LSIの性能、例えば回路のスイッチング遅延(τ)が、プロセス制御要因P1〜P3のばらつきで変動する場合、プロセス制御要因P1〜P3がほぼ独立に正規分布でばらつくことに着目した。そして、ばらつき全体の指標値3σwe,3σbeを下記に示す関係式(1)で計算する。
【0028】
Figure 0003926296
上記関係式(1)を用いて計算することにより、ばらつき全体の指標値3σwe,3σbeを実効的τ分布の範囲内に納めることができる。このため、LSIの性能、例えば遅延及びスルーレートのばらつき・分散系を算出する際、従来のように、不必要に大きなマージンが設定されることがなく、適正なマージンを設定できる。
【0029】
図2は、上記ばらつき分布を考慮した半導体集積回路の性能分散系算出装置及び方法の例を示している。記憶装置11は、設計すべき半導体集積回路の例えばGDSII形式のレイアウトデータを記憶し、記憶装置12は、回路の接続関係やトランジスタサイズ、抵抗、容量値等の回路定数を含むネットリスト(回路データ)を記憶している。CPU13は記憶装置11、12から供給されるデータに基づき次の計算を行う。すなわち、先ず、上記GDSII形式のレイアウトデータとネットリストに基づき、周知の回路シミュレータ、例えばSPICEを用いて、ゲート回路(セル)の性能情報を算出する(S1)。この後、算出されたセルの性能情報に基づき、各種要因毎のばらつき効果を周知のモンテカルロシミュレーションにより算出する(S2)。モンテカルロシミュレーションの具体例については後述する。次に、ステップS2の計算結果に基づき、要因間のばらつき効果を合成する。このため、上記式(1)に示す確率統計における期待値、分散値の加法定理を用いてセル性能の実効的な分布を算出する(S3)。このようにして計算された結果は、記憶媒体としてのセルライブラリ14に記憶される。したがって、このセルライブラリ14は、例えばセルの遅延時間情報と、遅延のばらつき(分散:variance、(分散)1/2=標準偏差)情報σを保持する。なお、このセルライブラリ14は、遅延に関する情報以外に、消費電力と、消費電力のばらつきσ等の情報を記憶する。消費電力のばらつきについては第3の実施形態において述べる。
【0030】
上記計算を実行することにより、図1に示すように、適正なマージン条件を導出することが可能となる。したがって、従来のマージン設計において、課題であった非現実的なマージン条件を回避できる。
【0031】
第1の実施形態において算出される半導体集積回路の性能分布は、上記装置及び方法を用いれば遅延、消費電力等の典型的な半導体集積回路の性能に対して適用可能である。
【0032】
図3は、上記性能分散系装置で算出される性能分布情報が適用されるシステムLSIの設計工程を示すフローチャートである。このフローチャートは、5段階の工程を有している。すなわち、この設計工程は、設計仕様(S10)に基づく機能設計(S11)、仮配線の遅延計算を含む論理設計(S12)、寄生素子の抽出を含む物理設計(S13)、実配線の遅延計算を含むタイミング検証(S14)、レイアウト検証(S15)を有している。第1の実施形態で得られたセルライブラリ14を用いることにより、前記論理設計工程(S12)における論理合成での静的な遅延解析や、物理設計(S13)後に行なわれるタイミング検証(S14)での静的な遅延解析において、高精度のタイミング解析が可能となる。
【0033】
図4は、従来のタイミング解析方法を概略的に示している。このタイミング解析方法は、負荷容量CiとスルーレートSiにより、セルの出力遅延時間Diと出力スリューレートSi+1のベスト、ワースト値が一意に算出されていた。すなわち、従来のタイミング解析方法は、設計対象セルの出力遅延分布、出力スリュー分布が考慮されていない。また、前段セルの影響で発生する入力スルー分布も考慮されていない。
【0034】
これに対して、第1の実施形態に示す半導体集積回路の性能分散系装置を用いれば、図5に示すように、対象のセルの出力遅延分布σ(D)、出力スルー分布、入力スルー分布σ(Si+1)が表現可能となる。つまり、出力遅延、入力スルーの分布を考慮した表現が可能となる。このため、タイミング解析の対象パスにおける各セルの出力遅延分布、出力スルー分布の算出が、該当する入力スルー分布と負荷容量を指定する事により、漸次的な計算で算出可能となる。これにより、第1の実施形態によれば、従来のSPICEコーナーモデルを基に積算された遅延の最小値、最大値幅に比べて、適切なタイミング幅を設定することができる。
【0035】
上記説明は、遅延時間とスルーレートについてした。次に、電源、温度ばらつき分布情報ライブラリと、プロセス要因のばらつき分布情報ライブラリを別個に具備した性能分散系算出装置及び方法について説明する。
【0036】
図6は、第1の実施形態に係る装置構成を具体的に示すものである。図2に示す装置は、プロセス要因のばらつきについて説明した。これに対して、図6に示す装置は、プロセス要因に加えて電源要因及び温度要因のばらつき分布情報を考慮している。したがって、セルライブラリ14は、プロセス要因のばらつき分布情報(P)に加えて、電源要因のばらつき分布情報(V)と、温度要因のばらつき分布情報(T)をさらに具備している。従来のセルライブラリにおいて、電源ばらつき効果、温度ばらつき効果は、仕様に基づいて規定される動作保障範囲の最大値、最小値のみの性能情報であった。このため、現実のばらつき効果を表現することが不可能であった。
【0037】
これに対して、第1の実施形態に示す上記手法をプロセス要因のばらつき効果の算出、温度ばらつき効果の算出、電源ばらつき効果の算出のそれぞれに適用することにより、精度の高いセルライブラリを構築できる。
【0038】
すなわち、図6において、CPU13は、GDSII形式のレイアウトデータとネットリストに基づき、SPICEによりセルの性能情報を算出する(S21)。この後、モンテカルロ法のシミュレーションにより、プロセス要因のばらつき効果、温度ばらつき効果、及び電源ばらつき効果を算出する(S22、S23、S24)。次に、上記式(1)に基づき、各要因間のばらつき効果を合成することにより、ばらつき分布を含む実効的なセルの性能分布を計算する(S25)。このような計算をすることにより、セルライブラリ14において、例えば遅延データのばらつきσは、プロセス要因のばらつき分布情報(P)、温度のばらつき分布情報(T)、及び電源ばらつき分布情報(V)を含み、消費電力のばらつきσも、プロセス要因のばらつき分布情報(P)、温度のばらつき分布情報(T)、及び電源ばらつき分布情報(V)を含んでいる。
【0039】
図7(a)(b)(c)は、プロセス要因のばらつき効果を、回路シミュレータとしてのSPICEのモンテカルロシュミレーションにて算出する手法を示している。また、図8は、図7(a)(b)に示すシミュレーションの動作を示すフローチャートである。
【0040】
従来のプロセス要因のばらつき効果は、回路シミュレーションのコーナー条件モデルを用いて算出されていた。このような手法によると、各セルで用いられているトランジスタの特性分布が満足できないものであったり、正確な特性分布が表現できなかったりしていた。この結果、セルの性能分布の精度は極めて低いものであった。
【0041】
これに対して、第1の実施形態は、上記のような手法を用いることにより、セルの性能分布の精度を向上可能としている。図7、図8に基づいて、動作について説明する。
【0042】
先ず、セルの過渡解析を、例えばSPICEのモンテカルロシミュレーションにより求める。従来は、例えば図7(b)に示すバッファ回路に傾きの異なる例えば4種類の入力信号を供給し、これら入力信号に対応する出力信号を得ている。すなわち、一意の入力信号(入力スルー)に対して一意の出力信号(出力遅延)を得るだけであり、プロセス要因ばらつき、入力スルー及び出力遅延のばらつきについては考慮されていなかった。これに対して、第1の実施形態では、モンテカルロシミュレーションにより例えば1000個の入力スルーの分布に対して出力遅延の分布を得る。すなわち、SPICEのモンテカルロシミュレーションを実行する際の条件として、入力スルーと、配線の負荷容量が設定される。これらの条件に基づき、モンテカルロシミュレーションを実行することにより、出力遅延の分布や、出力スルーの分布を得ることができる。このようにSPICEのモンテカルロシミュレーションを実行することにより、図9に示すようなセルライブラリが構築される。
【0043】
以下、具体的に説明する。先ず、図7(a)に示すように、電気的トランジスタ無効長(ゲート長)(Lg)、電気的トランジスタ無効幅(ゲート幅)(Wg)、トランジスタのゲート酸化膜厚(Tox)、拡散層のシート抵抗(Rsh)等の分布情報を、SPICEのトランジスタモデルの該当するパラメータに分布情報として設定する(図8(S31))。次に、図7(b)に示すように、SPICEのモンテカルロシミュレーションにより、各ばらつき効果が算出される。
【0044】
すなわち、S31において設定したプロセス要因のばらつき情報を基に、各セルの入力スルー、負荷容量を条件として、回路シミュレーションの過渡解析において、プロセス要因のばらつき効果をモンテカルロシミュレーションによりばらつかせ、プロセスばらつき効果によるセルの出力遅延分布Np-delay(μp-delay,σp-delay 2 )、出力スルー分布Np-slew(μp-slew,σp-slew 2 )を算出する(S32)。
【0045】
上記過渡解析の入力スルー条件では、入力スルーのばらつき効果が考慮されていない。そこで対象セルの入力スルーのばらつき効果を算出するため、入力スルー条件毎に入力スルー値をモンテカルロシミュレーションにてばらつかせたセルの過渡解析の回路シミュレーションを行う。これにより、入力スルーのばらつき効果によるセルの出力遅延分布Ns-delay(μs-delay,σs-delay 2 )、出力スルー分布Ns-slew(μs-slew,σs-slew 2 )を算出する(S33)。
【0046】
対象セル全体としての実効的なセルの出力遅延分布、出力スルー分布は、上記(S32)で求めたプロセス要因のばらつき効果によるセルの出力遅延分布,出力スルー分布のNp-delay,Np-slewと、入力スルーのばらつき効果によるセルの出力遅延分布,出力スルー分布のNs-delay,Ns-slewを合成する必要がある。これら2つの分布は互いに独立な正規分布であると考えられる。このため、前記期待値、分散値の加法定理、すなわち、上記式(1)を用いて、次式(2)に示すように、出力遅延分布、及びスルー分布を算出する(S34)。
【0047】
Figure 0003926296
このような計算を行うことにより、プロセス要因のばらつき分布情報を含む遅延時間を算出できる。同様にして、プロセス要因のばらつき分布情報を含む消費電力を算出することができる。
【0048】
図9は、上述の手法で得られたセルライブラリの遅延に関するプロセス要因のばらつき分布情報のデータ保持構造の一例を示している。すなわち、セルライブラリには、プロセス、電圧、温度のそれぞれに対して、スルー(Slew)、スルーの分布(Slew_σ)、配線の負荷容量(Cl)、配線の負荷容量の分布(Cl_σ)…出力遅延(Output_Delay)、出力遅延の分布(Output_Delay_σ)、出力スルー(Output_Slew)、出力スルーの分布(Output_Slew_σ)が設定される。
【0049】
上記第1の実施形態によれば、半導体集積回路の設計データに基づきSPICEによりシミュレートし、このシミュレート結果に基づき、モンテカルロシミュレーションを行い、各要因のばらつきを算出し、この算出した各要因のばらつきデータより、期待値、分散値の加法定理を利用して実効的な全体ばらつきの指標値3σwe,3σbeを算出している。したがって、LSIの性能のばらつき(分散系)を算出することにより、プロセス要因のばらつきがLSIの性能に与える影響を飛躍的に正確に算出でき、適正なマージンを設定できる。
【0050】
しかも、算出されたLSIの性能の分散データは、図9に示されるようにテーブル形式のデータで保持されているため、静的遅延解析等において、その都度、膨大な計算時間を必要としない。したがって、第1の実施形態によれば、上記非特許文献3のような膨大な計算時間を必要としないため、実用に供することが可能な装置及び方法を構成できる。
【0051】
さらに、図23に示す従来のセルライブラリは、仕様に基づいて規定される動作補償範囲の最大値、最小値のみからなる性能情報により構成されている。これに対して、第1の実施形態において、生成されたセルライブラリは、ばらつき要因効果に基づくLSIの性能が、分布情報として含まれている。したがって、第1の実施形態によれば、高精度のセルライブラリを構築することができる。
【0052】
尚、第1の実施形態において、セルライブラリ14は、信号遅延と消費電力を含む構成とした。しかし、これに限定されるものではなく、例えばチップ面積、歩留まり、及びこれらのばらつき分布情報等を含む構成としてもよい。
【0053】
(第2の実施形態)
次に、第2の実施形態について説明する。第2の実施形態は、要因のばらつきによるセルの性能分布情報の変更方法について説明する。
【0054】
例えばプロセス要因は、意図的に変化される場合と、意図的ではなく、ドリフト等により任意に変化する場合がある。このように、プロセス要因が変更された場合、セルライブラリを更新する必要がある。この更新作業は、処理時間の短縮が要求される。前述したSPICEの過渡解析におけるモンテカルロシミュレーションは、計算の精度は高いが、計算に長時間を要する。このため、このモンテカルロシミュレーションを用いることは得策ではない。そこで、ここでは、応答曲面関数を用いたモンテカルロシミュレーションを用いることとする。
【0055】
図10は、応答曲面関数の生成方法を示している。この場合、先ず、周知の実験計画法により、図11に示す直交表を作成する。すなわち、セルの出力遅延、出力スルーのそれぞれを応答曲面とするため、第1の実施形態において、プロセス要因のばらつき効果のモンテカルロシミュレーションで用いたプロセスばらつきパラメータを応答変数とした応答曲面関数を導出する。このため、図11に示す直交表を作成する。この直交表は、各プロセス要因P、P…Pに対応して無効ゲート長Lg、ゲート酸化膜厚Tox等が記載されている。
【0056】
次に、上記直交表に基づき、回路シミュレーション、例えばSPICEの過渡解析により、プロセスパラメータとしての応答変数の変化に対するセルの出力遅延、出力スルーの応答値を算出する(S41)。
【0057】
この後、上記過度解析結果を用いて、セルの出力遅延、出力スルーを応答値、プロセスばらつき因子を応答変数とした応答曲面関数を、最小2乗法にて算出する(S42)。
【0058】
この後、例えば実測されたセルの電気特性分布(S43)に基づき、各応答変数であるプロセスパラメータの変化を乱数にて発生させ、前記算出された応答曲面関数により、セルの出力遅延分布及び出力スルー分布を算出する(S44,S45)。このステップS43、S44の動作は、次に述べるセルライブラリの更新に適用される。
【0059】
図12及び図13は、例えばプロセス要因を意図的に変化させた場合におけるセルライブラリの更新方法を示している。
【0060】
プロセス要因を意図的に変更する場合、各プロセス要因の中心値(M1〜Mn)、及びばらつき(σ1〜σn)が変更される(S51)。この変更されたプロセス要因に基づいて製造されたセルを実測し、プロセス要因の中心値及びばらつきの分布データが収集される(S52)(図13(a))。このデータ収集処理は、例えばLSIテスタを用いて実行される。この後、収集されたデータに基づき、各プロセス要因が乱数により発生される。この発生された乱数の値は上記のようにして求めた応答曲面関数に代入され、応答曲面関数を用いたモンテカルロシミュレーションにより、半導体装置としてのセルの出力遅延分布、出力スルーレート分布が算出される(S53)(図13(a)(b))。このようにして算出された出力遅延分布、出力スルーレート分布を用いてセルライブラリが更新される(S54)(図13(c))。
【0061】
一方、要因が任意に変化してしまった場合、先ず、セルライブラリの更新が必要か否かを判断し、更新が必要な場合、セルライブラリが更新される。
【0062】
図14は、要因が任意に変化してしまった場合におけるセルライブラリの更新方法を示している。この場合、先ず、当初設定した複数の要因に基づき製造した半導体装置の性能、例えば出力遅延分布や出力スルーレート分布が実測される(S55)。この後、当初設定した複数の要因に基づき、半導体装置の出力遅延分布や出力スルーレート分布が応答曲面関数を用いて計算される(S56)。すなわち、図12に示すステップS53と同様にして、当初設定した各要因を乱数で発生する。この発生された値を応答曲面関数に代入し、モンテカルロシミュレーションにより、出力遅延分布や出力スルーレート分布が計算される。この後、前記実測された出力遅延分布や出力スルーレート分布の値と、前記計算により求められた出力遅延分布や出力スルーレート分布の値との差が規定値と比較される(S57)。この結果、両者の差が規定値以下である場合、更新の必要がないため、セルライブラリは更新されない(S58)。また、両者の差が規定値以上である場合、セルライブラリが更新される(S59)。
【0063】
図15は、セルライブラリの具体的な更新方法を示している。前述したように、両者の差が規定値以上である場合、先ず、実測データが正しいと考え、この実測データに一致するように新しい応答曲面関数の係数を最小二乗法により算出する(S61)。この算出された応答曲面関数の係数に基づき、各要因と半導体装置の性能との関係を示す新たな応答曲面関数を決定される(S62)。この後、各要因の乱数が発生され、この乱数の値が新たな応答曲面関数に代入される。このようにして、応答曲面関数を用いたモンテカルロシミュレーションにより新たな半導体装置の性能、すなわち、出力遅延分布、出力スルーレート分布等が算出される(S63)。これら算出された出力遅延分布、出力スルーレート分布等を用いてセルライブラリが更新される(S64)。
【0064】
第2の実施形態によれば、応答曲面関数を用いたモンテカルロシミュレーションにより、セルの出力遅延分布、出力スルー分布を算出し、これにより求めた出力遅延分布、及び出力スルー分布に基づき、セルライブラリを変更している。このため、意図的に要因が変更された場合、SPICEの過渡解析におけるモンテカルロシミュレーションを用いる場合に比べてセルライブラリを迅速に更新できる。
【0065】
また、プロセス等の要因が任意に変化した場合、当初設定された要因に基づき製造された半導体装置の性能の実測データと、当初設定された要因に基づき応答曲面法を用いて計算された半導体装置の性能との差を求め、両者の差が規定値以上である場合、ライブラリを更新している。しかも、応答曲面法を用いたモンテカルロシミュレーションにより半導体装置の性能を計算している。このため、SPICEの過渡解析におけるモンテカルロシミュレーションを用いる場合に比べて計算に要する時間を短縮でき、更新が必要か否かの判断を高速化できる。
【0066】
さらに、セルライブラリを更新する場合、実測データと一致するように最小二乗法により応答曲面関数の係数を算出し、この係数に基づき、要因と半導体装置の性能との関係を示す新たな応答曲面関数を求め、この新たな応答曲面関数を用いて半導体装置の性能を計算し、この計算結果を用いてセルライブラリを更新している。したがって、セルライブラリの情報を高精度に維持することができる。
【0067】
尚、上記第2の実施形態は、プロセスの変更に応じてセルライブラリを改訂する場合について説明した。しかし、これに限定されるものではなく、電源や温度等の変更に応じてセルライブラリを改訂することも可能である。
【0068】
また、第2の実施形態は、応答曲面関数を用いたモンテカルロシミュレーションにより、セルライブラリを更新する場合について説明した。しかし、これに限定されるものではなく、応答曲面関数を用いたモンテカルロシミュレーションを第1の実施形態に適用し、SPICEの過渡解析におけるモンテカルロシミュレーションに代えて、応答曲面関数を用いたモンテカルロシミュレーションによりセルライブラリを生成することも可能である。
【0069】
(第3の実施形態)
第3の実施形態は、消費電力の変化量を設定した半導体集積回路の性能分散系算出方法を示している。
【0070】
図23に示す従来のセルライブラリに設定された消費電力Pavgは、下記に示すように、スイッチング電力Psw、貫通電力Psc、漏れ電力Pleakに基づいて算出されている。
【0071】
avg =Psw+Psc+Pleak=pt ・fclk ・CL ・Vdd 2 +pt ・fclk ・Isc・Δtsc・Vdd+Ileak・Vdd
ここで、pt :1クロック期間中の平均トグル数、fclk :クロック周波数、CL :負荷容量、Vdd:電源電圧、Isc:直接パスの貫通電流、Δtsc:貫通電流が流れる時間、Ileak:逆バイアスダイオード電流やサブスレッショールド電流等
上記貫通電力Pscは、従来ベスト/ワースト遅延計算用の入力スルーテーブルと負荷容量テーブルのデータを用いて算出されている。このため、スルー条件はランダムなばらつきを正確に表現できず、結果として高精度な貫通電力を算出することができなかった。そこで、第3の実施形態は、高精度に貫通電力を算出手法を提供する。
【0072】
図16(a)は、例えばCMOSインバータ回路により構成された一般的なセルと配線を模式的に示す図である。また、図16(b)は、上記一般的なセルに対する入力スルーの標準偏差を考慮したキャラクタライゼーション方法を示している。図16(c)は、電力ライブラリに記憶されるテーブルデータの種類を示している。
【0073】
図17は、第3の実施形態に係る消費電力の変化量の算出方法を示すフローチャートである。先ず、セル毎に、入力スルーとモンテカルロシミュレーション又は応答曲面法により求めた入力スルーの標準偏差と負荷容量との3変数から貫通電流をキャラクタライゼーションした3次元のテーブルを作成する(S71)。次に、ネットリストから各セルの入力スルーと入力スルーの標準偏差を算出する(S72)。この後、算出された入力スルーと入力スルーの標準偏差と負荷容量とから前記3次元のテーブルに該当するデータを例えば線形補間することにより貫通電流を算出する(S73)。最後に、電源電圧と算出された貫通電流とからセル毎に消費電力を算出する(S74)。
【0074】
上記第3の実施形態によれば、モンテカルロシミュレーションにより入力スルーの標準偏差を算出し、この入力スルーの標準偏差を用いて貫通電流を算出している。このため、高精度に貫通電流を算出することができる。したがって、この貫通電流を用いて高精度の消費電力のセルライブラリを構築できる。
【0075】
(第4の実施形態)
第4の実施形態は、配線間のクロストークによる信号の遅延時間の変化量を設定可能としている。
【0076】
図18(a)(b)(c)は、一般的な配線間のクロストークによる信号の遅延時間の変化を示している。図18(a)に示すように、2つの配線が隣接して配置された場合、配線間に存在する容量、及び相互インダクタンスの影響により、ビクティム(Victim)配線の信号がアグレッサ(Aggressor)配線の信号により影響を受ける。図18(b)(c)は、ビクティム配線の信号遅延d1とアグレッサ配線の信号遅延d2を、確率密度関数(pdf)と時間(t)の関係で示している。これら配線間のクロストークにより、ビクティム配線の信号に遅延が生じる。このクロストークの影響は、図18(b)(c)にΔtで示すように、アグレッサ配線の信号とビクティム配線の信号のタイミングが重なる期間に大きくなることが分かっている。
【0077】
図19は、アグレッサ及びビクティム配線の信号のタイミング差(Δt)に対して、ビクティム配線における信号の遅延の変化量(Δd)を示している。図19に示す変化量の形状をf(Δt,Pi)で表現することにする。ここで、Pi(i=1〜k)はパラメータであり、アグレッサ及びビクティム配線を駆動するドライバー回路の等価抵抗、配線間容量、各配線の固定容量等を含んでいる。
【0078】
図20は、第4の実施形態の動作を説明するためのフローチャートを示している。図20を参照して、配線間クロストークによる信号の遅延時間の変化量の算出方法について説明する。
【0079】
算出すべき変化量は、ビクティム配線の遅延変化量Δd及びそのばらつき変化量Δσ(Δd)である。
【0080】
遅延変化量Δdは以下の式で計算することができる。
【0081】
まず、アグレッサ及びビクティム配線の平均タイミング差は、次式に示すようになる。
【0082】
Δt期待値=d1−d2
また、Δt期待値の標準偏差、σ(Δt期待値)は:
σ(Δt期待値)2 =σ(d1)2 +σ(d2)2 +Cov(d1、−d2)
このようなタイミング差の情報と、(Δt)に対してビクティム配線の遅延変化量(Δd)がどのように変わるか示す関数f(Δt,Pi)を使うことにより、Δdの平均値と標準偏差の増加量とが分布積分を実施することにより計算することが出来る。
【0083】
図20に示すように、先ず、例えばGDSII形式のレイアウトデータ及びネットリストを用いて、ネットの各段において、隣接する配線の配線ネットペアを抽出する(S81)。
次に、隣接する配線において、信号到達時刻の重なりがあるかどうかをチェックする。このため、隣接する配線における平均の信号到達時間(d1、d2)の差(d1−d2)を計算するとともに、各隣接配線の信号到達時間の標準偏差(σ(d1),σ(d2))を例えば伝送線路シミュレータ又はSPICEシミュレータを用いたモンテカルロシミュレーションにより計算する(S82)。
次いで、隣接する配線の信号到達時刻の重なりがあるかどうかを、例えば次式で示す条件に基づき判定する(S83)。
【0084】
|d1−d2|<3(σ(d1)+σ(d2))
上記条件が満たされない場合、クロストークは発生しないと判断し、他の隣接配線の配線ネットペアがあるかどうかをチェックする(S86)。この結果、他の配線ネットペアがある場合、前記S82に移行し、上記動作が繰り返される。
【0085】
一方、S83において、上記条件が満たされた場合、テーブルよりクロストークディレイの値が計算される。ビクティム及びアグレッサ配線は、双方向に干渉する。このため、互いの干渉効果をΔd(ビクティム)、Δd(アグレッサ)として遅延の変化量を計算する(S84)。
【0086】
次に、上記計算結果に基づいて、クロストークによるディレイの変化を次式により足しこむ(S85)。
【0087】
d(ビクティム)=d(ビクティム:Original)+Δd(ビクティム)
d(アグレッサ)=d(アグレッサ:Original)+Δd(アグレッサ)
この後、他の隣接配線の配線ネットペアがあるかどうかがチェックされる(S86)。この結果、他の配線ネットペアがある場合、S82に移行され、その配線ネットペアに対して、上記計算が繰り返される。また、他の配線ネットペアがない場合、例えばS81に移行され、次の段のネットに関して上記計算が繰り返される。
【0088】
上記処理により、クロストークによる遅延時間の変化量をばらつき効果も含んで正確に計算できる。
【0089】
図21は、上記結果を使って、配線間クロストークによる信号線遅延時間の変化量を例えばテーブル形式で記述したセルライブラリを示している。このセルライブラリは、配線間クロストークによる信号の遅延時間に関する変化量のデータを含んでいる。
【0090】
上記第4の実施形態によれば、従来の信号の遅延時間計算にクロストークによる信号の遅延の影響を容易に拡張できる。このため、LSI配線系の信号品質の新しい設計技術として利用可能であり、信頼の高い信号品質(シグナルインテグリティ)設計手法を実現でき、DSM(Deep Sub-Micron)プロセスを使ったLSI設計の生産性を飛躍的に向上することができる。
【0091】
尚、セルライブラリを構成する記憶媒体としては、ハードディスクに限定されるものではなく、CDやDVD等の光ディスク、メモリカード等の形態可能な記録媒体を含んでいる。
【0092】
その他、本発明は上記各実施形態に限定されるものではなく、発明の要旨を変えない範囲において種々変形実施可能なことは勿論である。
【0093】
【発明の効果】
以上、詳述したように本発明によれば、LSIの性能のばらつき(分散系)を算出することにより、例えばプロセス要因等のばらつきがLSIの性能に与える影響を飛躍的に正確に算出でき、適正なマージンを設定可能な半導体集積回路の性能分散系算出装置とその算出方法を提供できる。
【図面の簡単な説明】
【図1】第1の実施形態の概要を示すダイアグラム。
【図2】第1の実施形態に係る装置を概略的に示す構成図。
【図3】第1の実施形態が適用されるシステムLSIの設計工程を示すフローチャート。
【図4】従来のタイミング解析方法を概略的に示す図。
【図5】第1の実施形態を適用したタイミング解析方法を概略的に示す図。
【図6】第1の実施形態に係る装置構成を具体的に示す構成図。
【図7】図7(a)(b)(c)はプロセス要因のばらつき効果をモンテカルロシュミレーションにて算出する手法を説明するための図。
【図8】図7(a)(b)に示すシミュレーションの動作を示すフローチャート。
【図9】第1の実施形態に係るセルライブラリの一例を示す図。
【図10】本発明の第2の実施形態に係り、応答曲面関数の算出方法を示す図。
【図11】図10に適用される直交表の一例を示す図。
【図12】本発明の第2の実施形態に係り、意図的に要因を変化させた場合におけるセルライブラリの更新方法を説明するために示すフローチャート。
【図13】図12の動作を概略的に示す図。
【図14】本発明の第2の実施形態に係り、任意に要因が変化した場合におけるセルライブラリの更新方法を説明するために示すフローチャート。
【図15】本発明の第2の実施形態に係り、セルライブラリの具体的な更新方法を説明するために示すフローチャート。
【図16】消費電力の変化量を設定した半導体集積回路の性能分散系算出方法を説明するために示す図。
【図17】本発明の第3の実施形態に係わり、図16に示す方法の動作を示すフローチャート。
【図18】一般的な配線間のクロストークによる信号の遅延時間の変化を示す図。
【図19】隣接配線における信号の遅延の変化量を説明するための図。
【図20】本発明の第4の実施形態の動作を示すフローチャート。
【図21】第4の実施形態に係るセルライブラリの一例を示す図。
【図22】従来のワースト設計手法の一例を示す図。
【図23】従来のワースト設計手法に基づく装置の例を概略的に示す構成図。
【符号の説明】
11、12…記憶装置、
13…CPU、
14…記憶媒体としてのセルライブラリ。

Claims (11)

  1. 回路シミュレーションにより、レイアウトデータと回路データとから遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を算出する第1の算出部と、
    前記第1の算出部から供給される半導体装置の性能情報に基づき、プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因毎のばらつきを算出する第2の算出部と、
    前記第2の算出部で算出した要因毎のばらつきを確率統計分布の加法定理を用いて合成し、ばらつき分布情報を算出する第3の算出部と、
    前記第3の算出部により算出されたばらつき分布情報を記憶する記憶媒体と
    を具備することを特徴とする半導体集積回路の性能分散系算出装置。
  2. 前記第2の算出部は、前記第1の算出部に算出された前記半導体装置の性能情報に基づきモンテカルロシミュレーションにより前記要因毎のばらつきを算出することを特徴とする請求項1記載の半導体集積回路の性能分散系算出装置。
  3. 前記第2の算出部は、前記第1の算出部に算出された前記半導体装置の性能情報に基づき応答曲面法により前記要因毎のばらつきを算出することを特徴とする請求項1記載の半導体集積回路の性能分散系算出装置。
  4. ばらつき分布情報を記憶する記憶媒体と、
    プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因が更新されたとき、更新された要因に基づいて製造された半導体装置の実測値に従って、各要因の乱数を発生し、この乱数の値を、要因を応答変数、遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を応答曲面とした応答曲面関数に代入して、モンテカルロシミュレーションにより半導体装置の性能分散を算出する第1の算出部と、
    前記第1の算出部により算出された半導体装置の性能分散に基づき前記記憶媒体に記憶されたぱらつき分布情報を更新する第2の算出部と
    を具備することを特徴とする半導体集積回路の性能分散系算出装置。
  5. ばらつき分布情報を記憶する記憶媒体と、
    プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因が更新されたとき、更新された要因に基づいて製造された半導体装置の実測値に従って、各要因の乱数を発生し、この乱数の値を、要因を応答変数、遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を応答曲面とした応答曲面関数に代入して、モンテカルロシミュレーションにより半導体装置の性能分散を算出する第1の算出部と、
    前記第1の算出部により算出された計算値と前記実測値との差分値と基準値とを比較する比較部と、
    前記比較部による比較の結果、前記差分値が前記基準値以上である場合、前記第1の算出部により算出された半導体装置の性能分散に基づき前記記憶媒体に記憶されたぱらつき分布情報を更新する第2の算出部と
    を具備することを特徴とする半導体集積回路の性能分散系算出装置。
  6. 前記半導体装置の前記実測値と一致するように、最小二乗法により前記応答曲面関数の係数を算出する第3の算出部と、
    前記第3の算出部により算出された前記係数に基づき、更新された前記要因と半導体装置の性能情報との関係を示す応答曲面関数を算出する第4の算出部と、
    前記第4の算出部により算出された応答曲面関数を用いたモンテカルロシミュレーションにより、前記半導体装置の新たな性能情報を算出する第5の算出部と、
    前記第5の算出部により算出された前記半導体装置の新たな性能情報に基づき前記記憶媒体に記憶されたぱらつき分布情報を更新する第6の算出部と
    を具備することを特徴とする請求項又は記載の半導体集積回路の性能分散系算出装置。
  7. 半導体装置毎に入力スルーと、モンテカルロシミュレーション又は応答曲面法により求めた入力スルーの性能分散と、負荷容量との3つの変数から貫通電流を特定した3次元のテーブルを算出する第1の算出部と、
    回路データから各半導体装置の入力スルーと入力スルーの標準偏差を算出する第2の算出部と、
    前記第2の算出部により算出された入力スルーと入力スルーの標準偏差と負荷容量とから前記3次元のテーブルに該当するデータを線形補間により貫通電流を算出する第3の算出部と、
    電源電圧と前記第3の算出により算出された前記貫通電流とから半導体装置毎に消費電力を算出する第4の算出部と
    を具備することを特徴とする半導体集積回路の性能分散系算出装置。
  8. 回路データより、隣接して設置された配線ペアを抽出する抽出部と、
    平均の信号到達時間の差を算出する第1の算出部と、
    隣接配線の信号到達時間の性能分散をシミュレーションにより計算する第2の算出部と、
    前記第1の算出部により算出された信号到達時間の差と前記第2の算出部により算出された信号到達時間の性能分散を比較し、信号の重なりの有無を判別する判別部と、
    前記判別部により信号の重なりが有ると判別された場合、前記隣接配線のアグレッサ配線及びビクティム配線のクロストークによる遅延の変化量をそれぞれ算出する第4の算出部と
    前記アグレッサ配線の遅延値に前記アグレッサ配線の遅延の変化量を足しこみ、前記ビクティム配線の遅延値に前記ビクティム配線の遅延の変化量を足しこむ第5の算出部と
    を具備することを特徴とする半導体集積回路の性能分散系算出装置。
  9. 回路シミュレーションにより、レイアウトデータと回路データとから遅延、消費電力、スルーレート、及び歩留まりの少なくとも一つを含むばらつきを生じる半導体装置の性能情報を算出し、
    算出された前記半導体装置の性能情報に基づき、プロセス、温度、電源電圧、及び遅延の少なくとも一つを含むばらつきを生じさせる要因毎のばらつきを算出し、
    前記算出された要因毎のばらつきを確率統計分布の加法定理を用いて合成することにより、ばらつき分布情報を算出し、
    前記算出されたばらつき分布情報を記憶媒体に記憶する
    ことを特徴とする半導体集積回路の性能分散系算出方法。
  10. 前記ばらつきは、算出された前記半導体装置の性能情報に基づきモンテカルロシミュレーションにより要因毎に算出することを特徴とする請求項記載の半導体集積回路の性能分散系算出方法。
  11. 前記ばらつきは、算出された前記半導体装置の性能情報に基づき応答曲面法により要因毎に算出することを特徴とする請求項記載の半導体集積回路の性能分散系算出方法。
JP2003179490A 2003-06-24 2003-06-24 半導体集積回路の性能分散系算出装置及びその算出方法 Expired - Fee Related JP3926296B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003179490A JP3926296B2 (ja) 2003-06-24 2003-06-24 半導体集積回路の性能分散系算出装置及びその算出方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003179490A JP3926296B2 (ja) 2003-06-24 2003-06-24 半導体集積回路の性能分散系算出装置及びその算出方法

Publications (2)

Publication Number Publication Date
JP2005019524A JP2005019524A (ja) 2005-01-20
JP3926296B2 true JP3926296B2 (ja) 2007-06-06

Family

ID=34180797

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003179490A Expired - Fee Related JP3926296B2 (ja) 2003-06-24 2003-06-24 半導体集積回路の性能分散系算出装置及びその算出方法

Country Status (1)

Country Link
JP (1) JP3926296B2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007049555A1 (ja) * 2005-10-24 2007-05-03 Kyoto University Cmosモデル作成装置、該方法、該方法のプログラム及び記録媒体
JP4335862B2 (ja) 2005-11-08 2009-09-30 富士通マイクロエレクトロニクス株式会社 半導体集積回路の特性抽出方法及び特性抽出装置
JP5006214B2 (ja) * 2006-02-08 2012-08-22 ルネサスエレクトロニクス株式会社 ばらつきシミュレーション・システム、ばらつき決定モデル方法と装置ならびにプログラム
JP4664231B2 (ja) * 2006-05-12 2011-04-06 富士通セミコンダクター株式会社 タイミング解析方法及びタイミング解析装置
JP2008112406A (ja) * 2006-10-31 2008-05-15 Matsushita Electric Ind Co Ltd セルライブラリの生成方法、これを用いたタイミング解析方法、セルライブラリの生成装置およびタイミング解析装置
US7620921B2 (en) * 2007-04-27 2009-11-17 International Business Machines Corporation IC chip at-functional-speed testing with process coverage evaluation
JP5076832B2 (ja) * 2007-11-22 2012-11-21 富士通株式会社 遅延解析支援プログラム、該プログラムを記録した記録媒体、遅延解析支援装置、および遅延解析支援方法
JP5098970B2 (ja) * 2008-11-25 2012-12-12 富士通株式会社 リーク電流分布検証支援プログラム、リーク電流分布検証支援装置およびリーク電流分布検証支援方法
JP5104814B2 (ja) 2009-05-18 2012-12-19 富士通株式会社 設計支援プログラム、設計支援装置、および設計支援方法
JP5267327B2 (ja) 2009-05-25 2013-08-21 富士通セミコンダクター株式会社 設計支援プログラム、設計支援装置、および設計支援方法
JP5569237B2 (ja) 2010-08-06 2014-08-13 富士通セミコンダクター株式会社 情報処理装置、プログラム、および設計支援方法
US8904329B2 (en) 2013-01-07 2014-12-02 International Business Machines Corporation Systems and methods for single cell product path delay analysis
KR102061763B1 (ko) 2013-05-27 2020-01-03 삼성전자 주식회사 시뮬레이션 시스템 및 방법, 상기 시스템을 포함하는 컴퓨팅 시스템

Also Published As

Publication number Publication date
JP2005019524A (ja) 2005-01-20

Similar Documents

Publication Publication Date Title
TWI805794B (zh) 製造積體電路的方法以及用於設計積體電路的計算系統
JP4521640B2 (ja) 集積回路製作におけるデルタ情報設計クロージャ
US8645888B2 (en) Circuit timing analysis incorporating the effects of temperature inversion
US7882471B1 (en) Timing and signal integrity analysis of integrated circuits with semiconductor process variations
JP3926296B2 (ja) 半導体集積回路の性能分散系算出装置及びその算出方法
US6047247A (en) Method of estimating degradation with consideration of hot carrier effects
US10318686B2 (en) Methods for reducing delay on integrated circuits by identifying candidate placement locations in a leveled graph
US8255850B2 (en) Fabricating IC with NBTI path delay within timing constraints
US20060206845A1 (en) Hybrid linear wire model approach to tuning transistor widths of circuits with RC interconnect
US11030383B2 (en) Integrated device and method of forming the same
CN105359149A (zh) 双重结构的时钟树综合(cts)
KR102416490B1 (ko) 와이어의 공정 변이를 고려한 집적 회로를 설계하기 위한 컴퓨터 구현 방법 및 컴퓨팅 시스템
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
JP2003258101A (ja) 半導体集積回路装置の設計方法、設計装置、及び設計プログラム
KR20090077692A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 프로그램 및반도체 장치의 제조 시스템
US7100140B2 (en) Generation of graphical congestion data during placement driven synthesis optimization
US10878155B2 (en) System and method for estimating leakage power of circuit design at early stage
Bian et al. Nonlinear delay-table approach for full-chip NBTI degradation prediction
Duvall A practical methodology for the statistical design of complex logic products for performance
JP4664222B2 (ja) 許容値算出方法及び検証方法
US20100125442A1 (en) Model parameter extracting apparatus and model parameter extracting program for semiconductor device model
US8271913B2 (en) Method and system for design and modeling of transmission lines
US7797662B2 (en) Method and system for design and modeling of transmission lines
TWI783773B (zh) 用來建立關於電路特性之製程飄移模型以供進行電路模擬之方法及電路模擬系統
Karna et al. Impact on the Performance of North Bridge I/O Peripheral Component Interconnect Express Block in Physical Design Flow Considering Two Different Synthesis Corners at below 10nm Technology Node

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050815

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060516

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20060516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061024

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070130

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070227

R150 Certificate of patent or registration of utility model

Ref document number: 3926296

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100309

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110309

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110309

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110309

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110309

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120309

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120309

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130309

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130309

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140309

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees