JP2003258101A - 半導体集積回路装置の設計方法、設計装置、及び設計プログラム - Google Patents

半導体集積回路装置の設計方法、設計装置、及び設計プログラム

Info

Publication number
JP2003258101A
JP2003258101A JP2002057227A JP2002057227A JP2003258101A JP 2003258101 A JP2003258101 A JP 2003258101A JP 2002057227 A JP2002057227 A JP 2002057227A JP 2002057227 A JP2002057227 A JP 2002057227A JP 2003258101 A JP2003258101 A JP 2003258101A
Authority
JP
Japan
Prior art keywords
path
process variation
wiring
variation sensitivity
capacitance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002057227A
Other languages
English (en)
Other versions
JP3615191B2 (ja
Inventor
Mutsunori Igarashi
睦典 五十嵐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2002057227A priority Critical patent/JP3615191B2/ja
Priority to US10/378,731 priority patent/US6904572B2/en
Publication of JP2003258101A publication Critical patent/JP2003258101A/ja
Application granted granted Critical
Publication of JP3615191B2 publication Critical patent/JP3615191B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 プロセスバラツキによって生じる伝播遅延変
動の影響を抑制することができる、半導体集積回路装置
の設計方法、設計装置、及び設計プログラムを提供する
こと。 【解決手段】 同一ネット上の信号入力端子(ソース)
から信号出力端子(シンク)に至るまでのパスの配線容
量に対する、ゲート入力負荷容量の総和の比率を、対象
とするソースからシンクに至るパスの容量成分に関する
プロセスバラツキ感度として算出し、容量成分に関する
プロセスバラツキ感度が所定の基準値より高いパスにつ
いて配線容量負荷を調節して、当該パスの容量成分に関
するプロセスバラツキ感度を低減し、全てのパスの容量
成分に関するプロセスバラツキ感度が所定の基準値以下
になるように、各パスの容量成分に関するプロセスバラ
ツキ感度を最適化することによる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はコンピュータを用い
た半導体集積回路装置の設計技術に係り、特に、EDA
(Electronic Design Automation)ツールを用いて半導
体集積回路装置の設計を行う際に、プロセスバラツキを
考慮した設計を行うための設計方法、設計装置、及び設
計プログラムに関する。
【0002】
【従来の技術】半導体集積回路の設計では、回路の全て
の信号経路(パス)のソースと呼ばれる信号入力端子か
らシンクと呼ばれる信号出力端子に至るまで、配線や素
子を介して信号が伝播するのに要する時間(信号伝播遅
延時間)が、要求される範囲内になるように制御しなけ
ればならない。
【0003】一方、半導体集積回路の微細化に伴い、製
造時のプロセスバラツキが回路遅延特性に影響を与える
ようになってきた。例えば、ゲートの遅延時間Tは、簡
単には、オン抵抗Ronと容量Cとの積Ron・Cで表現す
ることができるが、プロセス段階でゲートポリ長Wやチ
ャネル長Lに微妙な寸法変化が生じると、セルのパフォ
ーマンスに影響を及ぼす。
【0004】従来の設計では、このようなプロセスバラ
ツキの影響に関しては、例えば、ゲートポリ長Wに関す
るプロセスバラツキを1つのパラメータKで代表し
て、設計時にゲート遅延時間Tに掛けることで考慮して
いた。この際にパラメータK値は、経験的な値に基づ
いたワーストの条件で設定されている。
【0005】
【発明が解決しようとする課題】ところが、従来は、例
えばゲート長Wのバラツキに対してゲート長Wの値が比
較的大きかったため、上記のようなパラメータKを掛
けることでプロセスバラツキの影響を許容することがで
きたが、回路の微細化、高速化に伴って、プロセスバラ
ツキの影響を許容する余裕がなくなってきている。
【0006】また、半導体プロセスの微細化に伴い、回
路遅延特性の中で配線遅延が占める割合が大きくなるに
つれ、配線層に関するプロセスバラツキの影響が無視で
きなくなってきた。これは、パス遅延全体の中で、配線
に起因する遅延が、セルの内部遅延を上回って、全体の
大半を占めるまでになったことによる。
【0007】従って、プロセスバラツキによる配線容量
Cや配線抵抗Rの変動の影響を、設計段階でも考慮する
必要が生じてきたが、現状ではそのような設計手法や技
術的指針は存在しない。
【0008】本発明はこのような問題を鑑みてなされた
ものであって、プロセスバラツキによって生じる伝播遅
延変動の影響を抑制することができる、半導体集積回路
装置の設計方法、設計装置、及び設計プログラムを提供
することを目的とする。
【0009】
【課題を解決するための手段】上記課題を解決するため
に、本発明の第1の特徴は、同一ネット上の信号入力端
子(ソース)から信号出力端子(シンク)に至るまでの
パスの配線容量に対する、ゲート入力負荷容量の総和の
比率を、対象とするソースからシンクに至るパスの容量
成分に関するプロセスバラツキ感度として算出し、容量
成分に関するプロセスバラツキ感度が所定の基準値より
高いパスについて配線容量負荷を調節して、当該パスの
容量成分に関するプロセスバラツキ感度を低減し、全て
のパスの容量成分に関するプロセスバラツキ感度が所定
の基準値以下になるように、各パスの容量成分に関する
プロセスバラツキ感度を最適化することを特徴とする。
【0010】この配線容量負荷を調節するために、
(1)隣接する配線との間隔を広げる、(2)上層配線
層の配線を用いる、(3)ルートドライバとなるセルを
コピーして経路を分けることを特徴とする。
【0011】第1の特徴によれば、ソースからシンクに
至る全てのパスにおける、容量成分に関するプロセスバ
ラツキ感度を同程度とすることができ、ゲートや配線の
プロセスバラツキによらず、各パスの伝播遅延変動を所
定の範囲内にすることができる。特に、伝播遅延に対し
て容量負荷変動が支配的であるローカル配線では、容量
成分に関するプロセスバラツキ感度を揃えることで、プ
ロセスバラツキによる伝播遅延への影響を抑制すること
ができる。
【0012】また、本発明の第2の特徴は、同一ネット
上の信号入力端子(ソース)から信号出力端子(シン
ク)に至るまでのパスの配線抵抗に対する、ゲート抵抗
の比率を、対象とするソースからシンクに至るパスの抵
抗成分に関するプロセスバラツキ感度として算出し、抵
抗成分に関するプロセスバラツキ感度が所定の基準値よ
り高いパスについて配線抵抗負荷を調節して、当該パス
の抵抗成分に関するプロセスバラツキ感度を低減し、全
てのパスの抵抗成分に関するプロセスバラツキ感度が所
定の基準値以下になるように、各パスの抵抗成分に関す
るプロセスバラツキ感度を最適化することを特徴とす
る。
【0013】この配線抵抗負荷を調節するために、
(1)配線幅を広げる、(2)バッファセルを挿入す
る、(3)ルートドライバとなるセルをコピーして経路
を分けることを特徴とする。
【0014】第2の特徴によれば、ソースからシンクに
至る全てのパスにおける、抵抗成分に関するプロセスバ
ラツキ感度を同程度とすることができ、ゲートや配線の
プロセスバラツキによらず、各パスの伝播遅延変動を所
定の範囲内にすることができる。特に、伝播遅延に対し
て配線抵抗成分の変動が支配的であるグローバル配線で
は、抵抗成分に関するプロセスバラツキ感度を揃えるこ
とで、プロセスバラツキによる伝播遅延への影響を抑制
することができる。
【0015】ここで、「ネット」とは論理セルの端子間
の接続情報であり、共通の配線で接続されるべき一組の
端子とその配線とは同一のネットに属する。
【0016】「パス」とは始点と終点との間の経路をい
い、論理回路における始点は信号入力端子(ソース)で
あり、終点は信号出力端子(シンク)である。尚、同一
の信号入力端子と同一の信号出力端子であっても、その
間を通る経路が異なれば、異なるパスを形成する。
【0017】また、「ローカル配線」とは配線長が例え
ば1mm未満の短い配線をいい、「グローバル配線」と
は配線長が例えば1mm以上の長い配線をいう。ローカ
ル配線においては容量負荷変動による遅延時間への影響
が大きく、グローバル配線においては配線抵抗成分の変
動による遅延時間への影響が大きい。
【0018】また、「上層配線層」とは、基板に近い
「下層配線層」に対して、基板から遠い配線層をいい、
例えば、5層からなるメタル層において、基板から数え
て3層目以上の配線層をいう。
【0019】
【発明の実施の形態】以下、図面に基づいて、本発明の
実施形態について説明する。
【0020】〔プロセスバラツキ感度の定義〕図1は、
分岐のない2端子ネットにインバータを直列に配置した
例であり、配線10に関するプロセスバラツキとゲート
入力容量負荷に関するプロセスバラツキを例示してい
る。図中、前段のゲート11はドライバセルであり、後
段のゲート12は駆動されるセルである。配線負荷容量
wireで示した部分と、ゲート入力容量Cloadで示した
部分とが、それぞれ異なるプロセスバラツキを持つもの
と想定する。
【0021】まず、Elmoreの遅延計算手法によれば、配
線負荷容量Cwireとゲート入力容量Cloadのプロセスバ
ラツキによる変動ΔCwire,ΔCloadを考慮した遅延De
layは、次式により表される。尚、Rgはゲート抵抗、
wireは配線抵抗、Lwireは配線長である。
【0022】
【数1】 上記式(1)において、2次の変動項を無視すれば、遅
延の変動ΔDelayは次式により表される。
【0023】
【数2】 ここで、全体のバラツキによる変動を配線Δwireとゲー
トΔとに分解すると、次式により式により表される。
【0024】
【数3】
【数4】 ここで、ローカル配線に関しては容量負荷変動Δ
wire,ΔCloadによる遅延時間への影響が大きく、配
線抵抗成分ΔRwire,ΔRloadの変動による影響は少な
いので、ローカル配線におけるプロセスバラツキによる
変動Δwire,Δは次式により表すことができる。
【0025】
【数5】
【数6】 従って、ローカル配線におけるゲート遅延に対する配線
遅延のプロセスバラツキ感度を、ソースからシンクに至
るパスの配線容量Cwireに対するゲート入力負荷容量C
loadの総和の比率を容量成分に関するプロセスバラツキ
感度として、次式の通り定義する。
【0026】
【数7】 一方、グローバル配線に関しては配線抵抗成分Δ
wire,ΔRloadによる遅延時間への影響が大きく、容
量負荷変動ΔCwire,ΔCloadの変動による影響は少な
いので、グローバル配線におけるプロセスバラツキによ
る変動Δwire,Δは次式により表すことができる。
【0027】
【数8】
【数9】 従って、グローバル配線におけるゲート遅延に対する配
線遅延のプロセスバラツキ感度を、ソースからシンクに
至るパスの配線抵抗Rwireに対するゲート抵抗Rの比
率を抵抗成分に関するプロセスバラツキ感度として、次
式の通り定義する。
【0028】
【数10】 尚、一般には、配線は2つ以上のファンアウト(Fanou
t)を持つケースが多い。その場合、上記のプロセスバ
ラツキ感度の定義は、以降に示す例のように、Elmoreの
遅延時間算出のモデルを、直接ソースからシンクに繋が
るパスで特性を近似することに相当する。
【0029】〔第1の実施の形態〕第1の実施の形態で
は、ソースからシンクに至るパスの配線容量に対する、
ゲート入力負荷容量の総和の比率を、パスの容量成分に
関するプロセスバラツキ感度として算出し、算出した全
てのパスの容量成分に関するプロセスバラツキ感度を揃
えることで、遅延の最適化を行う実施例について、詳細
に説明する。
【0030】図2は、ソース0(ドライバセル15)か
らシンク1〜3(駆動されるセル16〜18)に至る信
号経路(パス0−1,0−2,0−3)を有する回路を
例示した図である。各パス0−1,0−2,0−3にお
ける、容量成分に関するプロセスバラツキ感度の算出例
を次式に示す。尚、Cwireは配線負荷容量、Cloadi(i
=1,2,3)は駆動されるセル16〜18の入力容量、lj
(j=1,2,3,・・・6)は配線長(マンハッタン長)である。
【0031】
【数11】 図3(a)は、ソース0(ドライバセル15)からシン
ク1〜2(駆動されるセル16〜17)に至る信号経路
(パス0−1,0−2)を有する回路を例示した図であ
る。ここで、パス0−2が遅延の大きい(タイミング・
クリティカルである)パスであるとする。各パス0−
1,0−2における、容量成分に関するプロセスバラツ
キ感度の算出例を次式に示す。
【0032】
【数12】 図3(b)は、クリティカルパス0−2に関して、バッ
ファ25を挿入して遅延を改善した例を示している。改
善後の各パス0−1,0−2における、容量成分に関す
るプロセスバラツキ感度の算出例を次式に示す。
【0033】
【数13】 図4は、図3に示した例に実際に数値を入れて算出した
例を示している。図4(a)における、各パス0−1,
0−2の容量成分に関するプロセスバラツキ感度の算出
結果を次式に示す。
【0034】
【数14】 それに対して、図4(b)は、クリティカルパス0−
2’に関して、バッファ25及びバッファ26を挿入
し、配線長をl4’とl4’’とにすることで、遅延を
改善した例を示している。
【0035】更に、パス0−1とパス0−2’との分岐
点以降、パス0−2’の配線ピッチを広く取ることで、
パス0−2’の容量成分に関するプロセスバラツキ感度
を、パス0−1の感度に近づける。同図(c)は、配線
ピッチSを広げる前の当該パスの配線21と隣接する配
線22a,22bを例示しており、同図(d)は配線ピ
ッチSを配線格子(グリッド23a,23b)ひとつ分
広げた後の配線構造を例示している。ここで、配線容量
成分を、対基板”4”に対して隣接成分を”6”とし、
従って、パス0−1とパス0−2’との分岐点以降の、
パス0−2’の配線負荷容量Cwireは170fF/mmから68fF
/mmに削減されるものとモデル化する。
【0036】以上のように、パス0−2’の容量成分に
関するプロセスバラツキ感度を、パス0−1の感度に近
づけるように改善した後の、容量成分に関するプロセス
バラツキ感度の算出結果を次式に示す。パス0−2’の
容量成分に関するプロセスバラツキ感度がパス0−1の
感度に近づいていることが分かる。
【0037】
【数15】 図5(a)は、図4(b)に示した例に対して、ドライ
バセル15をコピーしたドライバセル27を隣接配置す
ることで、パス0−2’の容量成分に関するプロセスバ
ラツキ感度を更に低減し、パス0−1の感度に近づける
ように改善する例を示している。
【0038】また、更に、パス0−2’の配線全体(l
0’〜l3〜l4’〜l4’’)について、配線ピッチを
広く取ることで、パス0−2’の容量成分に関するプロ
セスバラツキ感度を、パス0−1の感度に近づける。図
5(b)〜(c)は、図4(c)〜(d)に示した例と
同様に、配線ピッチSを広げる前と、配線ピッチSをグ
リッド23a,23bひとつ分広げた後の配線構造を例
示している。
【0039】以上のように、パス0−2’の容量成分に
関するプロセスバラツキ感度を、パス0−1の感度に近
づけるように改善した後の、容量成分に関するプロセス
バラツキ感度の算出結果を次式に示す。パス0−2’の
容量成分に関するプロセスバラツキ感度が、パス0−1
の感度に更にいっそう近づいていることが分かる。
【0040】
【数16】 図6は、クロックツリーシンセシス(CTS:Clock Tr
ee Synthesis)にて作成されたバッファツリーの例を示
しており、グローバル配線30とローカル配線31の範
囲を例示している。
【0041】図示した例では、始点となるルートドライ
バ15から、末端のメモリ16、フリップフロップ1
7、マクロセル18などの間に、クロックサブドライバ
と呼ばれるバッファセル41〜46を階層的に挿入して
いる。これにより、各パス0の負荷容量に応じて負荷
バランスが取られ、クロックのタイミングのずれ(クロ
ックスキュー)を削減することができる。
【0042】この際、ツリー状に分解された全てのルー
トドライバ15(ソース)から末端のシンクまでの経路
における、プロセスバラツキ感度の上流からの和を一定
の範囲内に抑えることにより、ソースからシンクに至る
パスにおける、プロセスバラツキによる遅延変動を最小
化することができる。
【0043】〔第2の実施の形態〕第2の実施の形態で
は、ソースからシンクに至るパスの配線抵抗に対する、
ゲート抵抗の比率を、パスの抵抗成分に関するプロセス
バラツキ感度として算出し、算出した全てのパスの抵抗
成分に関するプロセスバラツキ抵抗を揃えることで、遅
延の最適化を行う実施例について、詳細に説明する。
尚、図中、第1の実施の形態と同一箇所には同一の符号
を附し、詳細な説明を省略する。
【0044】図7は、ソース0(ドライバセル15)か
らシンク1〜3(駆動されるセル16〜18)に至る信
号経路(パス0−1,0−2,0−3)を有する回路を
例示した図である。各パス0−1,0−2,0−3にお
ける、抵抗成分に関するプロセスバラツキ感度の算出例
を次式に示す。尚、Rwireは配線抵抗、Rはゲート抵
抗、lj(j=1,2,3,・・・6)は配線長である。
【0045】
【数17】 図8は、実際に数値を入れて算出した例を示している。
図4(a)における、各パス0−1,0−2の抵抗成分
に関するプロセスバラツキ感度の算出結果を次式に示
す。
【0046】
【数18】 これに対して、図8(b)は、クリティカルパス0−
2’に関して、バッファ25及びバッファ26を挿入す
ることで、遅延を改善した例を示している。
【0047】更に、パス0−1とパス0−2’との分岐
点以降、パス0−2’の配線幅Wを広くすることで、パ
ス0−2’の抵抗成分に関するプロセスバラツキ感度
を、パス0−1の感度に近づける。同図(c)は、配線
幅Wを広げる前の当該パスの配線21と隣接する配線2
2a,22bを例示しており、同図(d)は当該パスの
配線21の配線幅Wを2倍に広げた後の配線構造を例示
している。
【0048】以上のように、パス0−2’の抵抗成分に
関するプロセスバラツキ感度を、パス0−1の感度に近
づけるように改善した後の、抵抗成分に関するプロセス
バラツキ感度の算出結果を次式に示す。パス0−2’の
抵抗成分に関するプロセスバラツキ感度がパス0−1の
感度に近づいていることが分かる。
【0049】
【数19】 図9(a)は、図8(b)に示した例に対して、ドライ
バセル15をコピーしたドライバセル27を隣接配置す
ることで、パス0−2’の抵抗成分に関するプロセスバ
ラツキ感度を更に低減する例を示している。
【0050】また、更に、パス0−2’の配線全体(l
0’〜l3〜l4’〜l4’’)について、配線ピッチを
広く取ることで、パス0−2’の抵抗成分に関するプロ
セスバラツキ感度を、パス0−1の感度に近づける。図
9(b)〜(c)は、図8(c)〜(d)に示した例と
同様に、配線幅Wを広げる前/後の配線構造を例示して
いる。
【0051】パス0−2’の抵抗成分に関するプロセス
バラツキ感度を、パス0−1の感度に近づけるように改
善した後の、抵抗成分に関するプロセスバラツキ感度の
算出結果を次式に示す。パス0−2’の抵抗成分に関す
るプロセスバラツキ感度が、パス0−1の感度に、更に
いっそう近づいていることが分かる。
【0052】
【数20】 〔第3の実施の形態〕次に、第1〜2の実施形態にて説
明した、半導体回路装置の設計方法を適用した回路設計
装置の実施の形態について説明する。
【0053】図13は本実施形態に係る半導体回路装置
の設計装置の概略構成を例示する図である。この設計装
置は、CPU(Central Processing Unit)部70、入
力部80、出力部85、記憶部90、表示部95などか
ら構成されている。
【0054】CPU(Central Processing Unit)部7
0は、算術演算部73と主記憶72とからなる処理部7
1a,71b・・・を複数有する、マルチプロセッサを備
えている。入力部80は、カートリッジテープ(CM
T)やフロッピー(登録商標)ディスク装置(FD)な
どの磁気的あるいは光学的記録媒体用の入力装置81、
キーボード82やポインティングデバイス83などから
構成される。記憶部90はROM(Read Only Memory)
91、RAM(Random Access Memory)92、HDD
(Hard Disc Drive)93、出力部85はプリンタ装置
86、表示部95はディスプレイ装置等で構成される。
【0055】本半導体回路装置は、図10に例示するフ
ローチャートの処理手順を記載した、半導体回路装置の
設計プログラムを入力部80から入力し、記憶部90に
インストールすることができる。そして、本半導体回路
装置のCPU部70は、インストールされた設計プログ
ラムに記載されている処理手順に従って、半導体回路装
置の設計に関する一連の処理動作を行う。
【0056】また、本半導体回路装置が半導体回路装置
の設計処理を行う際には、ゲートレベルのネットリスト
や各種ライブラリ情報等を入力部80から入力する。ラ
イブラリ情報は、セルやピンの大きさ/形状/配線層な
どを定義した幾何学的なライブラリ、ピンの容量や配線
のシート抵抗・容量といったテクノロジーのライブラ
リ、各論理セルのゲートのオン抵抗や入出力等を記述し
たパフォーマンス・ライブラリなどである。
【0057】図10は、本実施形態に係る半導体回路装
置の設計方法に関する処理手順を例示するフローチャー
トである。
【0058】はじめに、容量成分/配線抵抗に関するプ
ロセスバラツキ感度の分散の基準値を設定する(Step0
1)。
【0059】次に、設計の対象となる全てのネットにつ
いて処理をしたかどうか判定し、処理済みの場合には処
理を終了する(Step02)。
【0060】次に、対象となるネットの配線長を調べ
(Step03)、対象となるネットの配線がグローバル配線
かローカル配線かを判定する(Step04)。ローカル配線
は配線長が例えば1mm未満の短い配線であり、グロー
バル配線は配線長が例えば1mm以上の長い配線であ
る。図11に示しような半導体チップ50を例にする
と、クロックルートドライバ51からクロックサブドラ
イバ58に至る間はグローバル配線であり、クロックサ
ブドライバ58から末端のフリップフロップ53に至る
間はローカル配線である。
【0061】Step04の判定の結果、グローバル配線であ
る場合には、配線抵抗成分の変動による遅延時間への影
響が大きいため、当該ネットの全てのパスについて抵抗
成分に関するプロセスバラツキ感度を求める(Step1
1)。
【0062】z 次に、求めた抵抗成分に関するプロセ
スバラツキ感度の平均と分散を求める(Step12)。
【0063】そして、求めたプロセスバラツキ感度の分
散が、予めStep01にて設定した基準値を超えるかどうか
判定し、基準値を超えていなければ、Step02へ戻って次
のネットの処理を行う(Step13)。
【0064】逆に、プロセスバラツキ感度の分散が基準
値を超えている場合には、次に、基準値を超えるプロセ
スバラツキ感度を持つパスに関して、平均から遠いパス
から順に選択する(Step14)。
【0065】そして、選択したパスについて、抵抗成分
に関するプロセスバラツキ感度の最適化を行う。この最
適化処理には、以下の各ステップが含まれ、選択的に適
宜実行される(Step15)。
【0066】(1)抵抗成分に関するプロセスバラツキ
感度を小さくするために、対象となる信号経路にバッフ
ァセルを挿入する(Step16)。
【0067】(2)抵抗成分に関するプロセスバラツキ
感度を小さくするために、対象経路の配線幅を太くする
(Step17)。
【0068】(3)抵抗成分に関するプロセスバラツキ
感度を小さくするために、ルートドライバをコピーし
て、信号経路を分ける(Step18)。
【0069】選択したパスについて、以上の抵抗成分に
関するプロセスバラツキ感度の最適化を行った後、再び
Step13へ戻る。つまり、抵抗成分に関するプロセスバラ
ツキ感度の分散が基準値を下回るまで、Step13〜Step18
の処理を繰り返す。
【0070】一方、Step04の判定の結果、ローカル配線
である場合には、容量負荷変動による遅延時間への影響
が大きいため、当該ネットの全てのパスについて容量成
分に関するプロセスバラツキ感度を求める(Step21)。
【0071】次に、求めた容量成分に関するプロセスバ
ラツキ感度の平均と分散を求める(Step22)。
【0072】そして、求めた容量成分に関するプロセス
バラツキ感度の分散が、予めStep01にて設定した基準値
を超えるかどうか判定し、基準値を超えていなければ、
Step02へ戻って次のネットの処理を行う(Step23)。
【0073】逆に、容量成分に関するプロセスバラツキ
感度の分散が基準値を超えている場合には、次に、基準
値を超えるプロセスバラツキ感度を持つパスに関して、
平均から遠いパスから順に選択する(Step24)。
【0074】そして、選択したパスについて、容量成分
に関するプロセスバラツキ感度の最適化を行う。このの
最適化処理には、以下の各ステップが含まれ、選択的に
適宜実行される(Step25)。
【0075】(1)容量成分に関するプロセスバラツキ
感度を小さくするために、対象となる信号経路に隣接配
線間隔を広くする(Step26)。
【0076】(2)容量成分に関するプロセスバラツキ
感度を小さくするために、対象経路に上層の配線層を用
いる(Step27)。ここで、「上層配線層」とは、図12
に例示する5層構造の配線層の場合、基板20に近い第
1層61や第2層62などの「下層配線層」に対して、
基板から遠い第3層63〜第5層65の配線層である。
一般に、基板20から遠い上層の配線層ほど対基板容量
が小さくなるため、下層配線層に比べて配線容量自体を
小さくすることができる。従って、上層配線層を用いる
ことで、容量成分に関するプロセスバラツキ感度を小さ
くすることができる。
【0077】(3)容量成分に関するプロセスバラツキ
感度を小さくするために、ルートドライバをコピーし
て、信号経路を分ける(Step28)。
【0078】選択したパスについて、以上の容量成分に
関するプロセスバラツキ感度の最適化を行った後、再び
Step13へ戻る。つまり、容量成分に関するプロセスバラ
ツキ感度の分散が基準値を下回るまで、Step13〜Step18
の処理を繰り返す。
【0079】以上の処理を、対象となる全てのネットに
関して行うことで、容量成分/配線抵抗に関するプロセ
スバラツキ感度をネット毎に所定の基準値内に揃えるこ
とができ、因ってプロセスバラツキによって生じる伝播
遅延変動の影響を抑制することができる半導体回路装置
の設計が可能となる。
【0080】以上、本発明について、詳細に説明した
が、本発明は本実施例に限定されず、本発明の主旨を逸
脱しない範囲において、種々の改良や変更を成し得るで
あろう。
【0081】従って、本発明はこの開示から妥当な特許
請求の範囲に係わる発明特定事項によってのみ限定され
るものでなければならない。
【0082】
【発明の効果】本発明の第1の特徴によれば、ソースか
らシンクに至る全てのパスにおける、容量成分に関する
プロセスバラツキ感度を同程度とすることができ、ゲー
トや配線のプロセスバラツキによらず、各パスの伝播遅
延変動を所定の範囲内にすることができる。特に、伝播
遅延に対して容量負荷変動が支配的であるローカル配線
では、容量成分に関するプロセスバラツキ感度を揃える
ことで、プロセスバラツキによる伝播遅延への影響を抑
制することができる。
【0083】また、第2の特徴によれば、ソースからシ
ンクに至る全てのパスにおける、抵抗成分に関するプロ
セスバラツキ感度を同程度とすることができ、ゲートや
配線のプロセスバラツキによらず、各パスの伝播遅延変
動を所定の範囲内にすることができる。特に、伝播遅延
に対して配線抵抗成分の変動が支配的であるグローバル
配線では、抵抗成分に関するプロセスバラツキ感度を揃
えることで、プロセスバラツキによる伝播遅延への影響
を抑制することができる。
【0084】従って、プロセスバラツキによって生じる
伝播遅延変動の影響を抑制することができる、半導体集
積回路装置の設計方法、設計装置、及び設計プログラム
を提供することができる。
【図面の簡単な説明】
【図1】容量成分に関するプロセスバラツキ感度の定義
例を説明するための、2端子ネットにインバータを直列
に配置した回路を例示した図である。
【図2】容量成分に関するプロセスバラツキ感度の定義
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。
【図3】容量成分に関するプロセスバラツキ感度の算出
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図であり、(a)は遅延改善前
の回路、(b)はバッファ挿入による遅延改善を施した
回路を例示している。
【図4】容量成分に関するプロセスバラツキ感度の算出
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。(a)は遅延改善前
の回路に数値を設定し、(b)はバッファ挿入による遅
延改善を施した回路に数値を設定した例を示している。
(c)は配線ピッチの拡大前の例、(d)は配線ピッチ
の拡大を行うことでプロセスバラツキ感度の最適化を施
した例を示している。
【図5】容量成分に関するプロセスバラツキ感度の算出
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。(a)はドライバセ
ルをコピーして経路を分けることでプロセスバラツキ感
度の最適化を施した回路の例を示している。(b)は配
線ピッチの拡大前の例、(c)は配線ピッチの拡大を行
うことでプロセスバラツキ感度の最適化を施した例を示
している。
【図6】クロックツリーシンセシス(CTS)にて作成
されたバッファツリーの例を示した図である。
【図7】抵抗成分に関するプロセスバラツキ感度の定義
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。
【図8】抵抗成分に関するプロセスバラツキ感度の算出
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。(a)は遅延改善前
の回路に数値を設定し、(b)はバッファ挿入による遅
延改善を施した回路に数値を設定した例を示している。
(c)は配線幅の拡大前の例、(d)は配線幅の拡大を
行うことでプロセスバラツキ感度の最適化を施した例を
示している。
【図9】抵抗成分に関するプロセスバラツキ感度の算出
例を説明するための、ソースからシンクに至る信号経路
を有する回路を例示した図である。(a)はドライバセ
ルをコピーして経路を分けることでプロセスバラツキ感
度の最適化を施した回路の例を示している。(b)は配
線幅の拡大前の例、(c)は配線幅の拡大を行うことで
プロセスバラツキ感度の最適化を施した例を示してい
る。
【図10】本実施形態に係る半導体回路装置の設計処理
について、その処理手順を例示するフローチャートであ
る。
【図11】グローバル配線とローカル配線について説明
するための説明図である。
【図12】上層配線層と下層配線層について説明するた
めの説明図である。
【図13】本実施形態に係る半導体回路装置の設計装置
について、その構成を例示する概略構成図である。
【符号の説明】
10....経路、 11,15....ドライバセル、 12,16,17,18....駆動されるセル、 20....基板、 21....配線、 22a,22b....隣接する配線、 23a,23b....配線格子(グリッド)、 25,26....バッファセル、 30....グローバル配線、 31....ローカル配線、 41〜46....バッファセル(クロックサブドライ
バ)、 50....半導体チップ、 51.... クロックルートドライバ、 52.... クロックサブドライバ、 53.... フリップフロップ、 54....グローバル配線、 55....ローカル配線、 61....第1層、 62....第2層、 63....第3層、 64....第4層、 65....第5層、 70....CPU部、 71a,71b....処理部、 72....主記憶、 73....算術演算部、 80....入力部、 81....入力装置、 82....キーボード、 83....ポインティングデバイス、 85....出力部、 86....プリンタ装置、 90....記憶部、 91....ROM、 92....RAM、 93....HDD、 95....表示部
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/04 H01L 27/04 D Fターム(参考) 5B046 AA08 BA06 CA04 5F038 CA17 CD05 CD09 CD12 CD13 EZ09 EZ10 EZ20 5F064 BB26 EE03 EE08 EE09 EE19 EE26 EE42 EE43 EE47 HH02 HH09 HH10 HH12

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 同一ネット上のソースと呼ばれる信号入
    力端子からシンクと呼ばれる信号出力端子に至るまでの
    パスにおける伝播遅延を最適化する、半導体集積回路装
    置の設計方法であって、 前記ソースから前記シンクに至るパスの配線容量に対す
    る、ゲート入力負荷容量の総和の比率を、対象とするソ
    ースからシンクに至るパスの容量成分に関するプロセス
    バラツキ感度として算出し、 全てのパスの容量成分に関するプロセスバラツキ感度が
    所定の基準値以下になるように、各パスの容量成分に関
    するプロセスバラツキ感度を最適化することを特徴とす
    る半導体集積回路装置の設計方法。
  2. 【請求項2】 前記容量成分に関するプロセスバラツキ
    感度が所定の基準値より高いパスについて、配線容量負
    荷を調節して、当該パスの容量成分に関するプロセスバ
    ラツキ感度を低減することで、プロセスバラツキ感度の
    最適化を行うことを特徴とする請求項1に記載の半導体
    集積回路装置の設計方法。
  3. 【請求項3】 前記容量成分に関するプロセスバラツキ
    感度が前記基準値より高いパスについて、隣接する配線
    との間隔を広げることで、前記配線容量負荷を調節する
    ことを特徴とする請求項2に記載の半導体集積回路装置
    の設計方法。
  4. 【請求項4】 前記容量成分に関するプロセスバラツキ
    感度が前記基準値より高いパスについて、上層配線層の
    配線を用いることで、前記配線容量負荷を調節すること
    を特徴とする請求項2に記載の半導体集積回路装置の設
    計方法。
  5. 【請求項5】 前記容量成分に関するプロセスバラツキ
    感度が前記基準値より高いパスについて、ルートドライ
    バとなるセルをコピーして経路を分けることで、前記配
    線容量負荷を調節することを特徴とする請求項2に記載
    の半導体集積回路装置の設計方法。
  6. 【請求項6】 前記ネットにおける配線構造は、ローカ
    ル配線であることを特徴とする請求項1ないし請求項5
    のいずれかに記載の半導体集積回路装置の設計方法。
  7. 【請求項7】 同一ネット上のソースと呼ばれる信号入
    力端子からシンクと呼ばれる信号出力端子に至るまでの
    パスにおける伝播遅延を最適化する、半導体集積回路装
    置の設計方法であって、 前記ソースから前記シンクに至るパスの配線抵抗に対す
    るゲート抵抗の比率を、対象とするソースからシンクに
    至るパスの抵抗成分に関するプロセスバラツキ感度とし
    て算出し、 前記抵抗成分に関するプロセスバラツキ感度が所定の基
    準値より高いパスについて配線抵抗負荷を調節し、当該
    パスの抵抗成分に関するプロセスバラツキ感度を低減
    し、 算出した全てのパスの抵抗成分に関するプロセスバラツ
    キ感度が所定の基準値以下になるように、各パスの抵抗
    成分に関するプロセスバラツキ感度を最適化することを
    特徴とする半導体集積回路装置の設計方法。
  8. 【請求項8】 前記抵抗成分に関するプロセスバラツキ
    感度が所定の基準値より高いパスについて、配線抵抗負
    荷を調節して、当該パスの抵抗成分に関するプロセスバ
    ラツキ感度を低減することで、プロセスバラツキ感度の
    最適化を行うことを特徴とする請求項7に記載の半導体
    集積回路装置の設計方法。
  9. 【請求項9】 前記抵抗成分に関するプロセスバラツキ
    感度が前記基準値より高いパスについて、配線幅を広げ
    ることで、前記配線抵抗負荷を調節することを特徴とす
    る請求項8に記載の半導体集積回路装置の設計方法。
  10. 【請求項10】 前記抵抗成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、バッファセ
    ルを挿入することで、前記配線抵抗負荷を調節すること
    を特徴とする請求項8に記載の半導体集積回路装置の設
    計方法。
  11. 【請求項11】 前記抵抗成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、ルートドラ
    イバとなるセルをコピーして経路を分けることで、前記
    配線抵抗負荷を調節することを特徴とする請求項8に記
    載の半導体集積回路装置の設計方法。
  12. 【請求項12】 前記ネットにおける配線構造は、グロ
    ーバル配線であることを特徴とする請求項7ないし請求
    項11のいずれかに記載の半導体集積回路装置の設計方
    法。
  13. 【請求項13】 同一ネット上のソースと呼ばれる信号
    入力端子からシンクと呼ばれる信号出力端子に至るまで
    のパスにおける伝播遅延を最適化する、半導体集積回路
    装置の設計装置であって、 前記ソースから前記シンクに至るパスの配線容量に対す
    る、ゲート入力負荷容量の総和の比率を、対象とするソ
    ースからシンクに至るパスの容量成分に関するプロセス
    バラツキ感度として算出する機能と、 前記容量成分に関するプロセスバラツキ感度が所定の基
    準値より高いパスについて配線容量負荷を調節して、当
    該パスの容量成分に関するプロセスバラツキ感度を低減
    し、算出した全てのパスの容量成分に関するプロセスバ
    ラツキ感度が所定の基準値以下になるように、各パスの
    容量成分に関するプロセスバラツキ感度を最適化する機
    能と、 前記ソースから前記シンクに至るパスの配線抵抗に対す
    るゲート抵抗の比率を、対象とするソースからシンクに
    至るパスの抵抗成分に関するプロセスバラツキ感度とし
    て算出する機能と、 前記抵抗成分に関するプロセスバラツキ感度が所定の基
    準値より高いパスについて配線抵抗負荷を調節して、当
    該パスの抵抗成分に関するプロセスバラツキ感度を低減
    し、算出した全てのパスの抵抗成分に関するプロセスバ
    ラツキ感度が所定の基準値以下になるように、各パスの
    抵抗成分に関するプロセスバラツキ感度を最適化する機
    能とを有し、 前記ネットにおける配線構造がローカル配線である場合
    に、全てのパスの容量成分に関するプロセスバラツキ感
    度を算出し、各パスの容量成分に関するプロセスバラツ
    キ感度を最適化し、 前記ネットにおける配線構造がグローバル配線である場
    合に、全てのパスの抵抗成分に関するプロセスバラツキ
    感度を算出し、各パスの抵抗成分に関するプロセスバラ
    ツキ感度を最適化することを特徴とする半導体集積回路
    装置の設計装置。
  14. 【請求項14】 前記容量成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、隣接する配
    線との間隔を広げることで、前記配線容量負荷を調節す
    ることを特徴とする請求項13に記載の半導体集積回路
    装置の設計装置。
  15. 【請求項15】 前記容量成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、上層配線層
    の配線を用いることで、前記配線容量負荷を調節するこ
    とを特徴とする請求項13に記載の半導体集積回路装置
    の設計装置。
  16. 【請求項16】 前記容量成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、ルートドラ
    イバとなるセルをコピーして経路を分けることで、前記
    配線容量負荷を調節することを特徴とする請求項13に
    記載の半導体集積回路装置の設計装置。
  17. 【請求項17】 前記抵抗成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、配線幅を広
    げることで、前記配線抵抗負荷を調節することを特徴と
    する請求項13に記載の半導体集積回路装置の設計装
    置。
  18. 【請求項18】 前記抵抗成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、バッファセ
    ルを挿入することで、前記配線抵抗負荷を調節すること
    を特徴とする請求項13に記載の半導体集積回路装置の
    設計装置。
  19. 【請求項19】 前記抵抗成分に関するプロセスバラツ
    キ感度が前記基準値より高いパスについて、ルートドラ
    イバとなるセルをコピーして経路を分けることで、前記
    配線抵抗負荷を調節することを特徴とする請求項13に
    記載の半導体集積回路装置の設計装置。
  20. 【請求項20】 同一ネット上のソースと呼ばれる信号
    入力端子からシンクと呼ばれる信号出力端子に至るまで
    のパスにおける伝播遅延を最適化する、半導体集積回路
    装置の設計プログラムであって、 前記ネットにおける配線長を求め、その配線構造を判定
    するステップと、 前記ネットにおける配線構造がローカル配線である場合
    に、前記ソースから前記シンクに至るパスの配線容量に
    対する、ゲート入力負荷容量の総和の比率を、対象とす
    るソースからシンクに至るパスの容量成分に関するプロ
    セスバラツキ感度として算出するステップと、 前記容量成分に関するプロセスバラツキ感度が所定の基
    準値より高いパスについて配線容量負荷を調節して、当
    該パスの容量成分に関するプロセスバラツキ感度を低減
    し、算出した全てのパスの容量成分に関するプロセスバ
    ラツキ感度が所定の基準値以下になるように、各パスの
    容量成分に関するプロセスバラツキ感度を最適化するス
    テップと、 前記ネットにおける配線構造がグローバル配線である場
    合に、前記ソースから前記シンクに至るパスの配線抵抗
    に対するゲート抵抗の比率を、対象とするソースからシ
    ンクに至るパスの抵抗成分に関するプロセスバラツキ感
    度として算出するステップと、 前記抵抗成分に関するプロセスバラツキ感度が所定の基
    準値より高いパスについて配線抵抗負荷を調節して、当
    該パスの抵抗成分に関するプロセスバラツキ感度を低減
    し、算出した全てのパスの抵抗成分に関するプロセスバ
    ラツキ感度が所定の基準値以下になるように、各パスの
    抵抗成分に関するプロセスバラツキ感度を最適化するス
    テップとを有することを特徴とする半導体集積回路装置
    の設計プログラム。
JP2002057227A 2002-03-04 2002-03-04 半導体集積回路装置の設計方法、設計装置、及び設計プログラム Expired - Fee Related JP3615191B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002057227A JP3615191B2 (ja) 2002-03-04 2002-03-04 半導体集積回路装置の設計方法、設計装置、及び設計プログラム
US10/378,731 US6904572B2 (en) 2002-03-04 2003-03-03 Method, apparatus and program for designing a semiconductor integrated circuit by adjusting loading of paths

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002057227A JP3615191B2 (ja) 2002-03-04 2002-03-04 半導体集積回路装置の設計方法、設計装置、及び設計プログラム

Publications (2)

Publication Number Publication Date
JP2003258101A true JP2003258101A (ja) 2003-09-12
JP3615191B2 JP3615191B2 (ja) 2005-01-26

Family

ID=27800111

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002057227A Expired - Fee Related JP3615191B2 (ja) 2002-03-04 2002-03-04 半導体集積回路装置の設計方法、設計装置、及び設計プログラム

Country Status (2)

Country Link
US (1) US6904572B2 (ja)
JP (1) JP3615191B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011096014A (ja) * 2009-10-29 2011-05-12 Renesas Electronics Corp タイミング解析装置、タイミング解析方法、及びタイミング解析プログラム

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7879538B2 (en) * 2003-09-24 2011-02-01 Cadence Design Systems, Inc. Frequency division multiplexing (FDM) lithography
US7360191B2 (en) 2003-11-06 2008-04-15 Clear Shape Technologies, Inc. Delta information design closure integrated circuit fabrication
EP1683189A4 (en) * 2003-11-06 2008-08-06 Clear Shape Technologies Inc DELTA GEOMETRY TEMPORAL PREDICTION FOR MANUFACTURING INTEGRATED CIRCUITS
US7526743B2 (en) * 2004-07-23 2009-04-28 International Business Machines Corporation Method for routing data paths in a semiconductor chip with a plurality of layers
US7337415B2 (en) * 2004-10-18 2008-02-26 International Business Machines Corporation Systematic yield in semiconductor manufacture
US7385988B2 (en) 2005-02-28 2008-06-10 Cisco Technology, Inc. Method and apparatus for limiting VPNv4 prefixes per VPN in an inter-autonomous system environment
EP1866760A4 (en) * 2005-03-18 2009-08-05 Celik Mustafa STATISTICAL DELAY AND NOISE CALCULATION, TAKING INTO ACCOUNT CELL AND CONNECTION FLUCTUATIONS
CN101506810B (zh) * 2005-10-24 2013-06-05 卡德思设计规划公司 集成电路的时序、噪声和功率分析
US20070158835A1 (en) * 2006-01-12 2007-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for designing interconnect for a new processing technology
CN101187958B (zh) * 2006-11-20 2012-05-30 国际商业机器公司 生成集成电子电路的布图的方法和系统
JP4320340B2 (ja) * 2006-12-15 2009-08-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路の設計方法、および、半導体集積回路
WO2008114397A1 (ja) * 2007-03-19 2008-09-25 Fujitsu Microelectronics Limited 半導体集積回路の設計方法およびその設計装置
US7669161B2 (en) * 2007-06-22 2010-02-23 Synopsys, Inc. Minimizing effects of interconnect variations in integrated circuit designs
US20090217225A1 (en) * 2008-02-22 2009-08-27 Mentor Graphics, Corp. Multi-mode multi-corner clocktree synthesis
US20090199143A1 (en) * 2008-02-06 2009-08-06 Mentor Graphics, Corp. Clock tree synthesis graphical user interface
US9310831B2 (en) 2008-02-06 2016-04-12 Mentor Graphics Corporation Multi-mode multi-corner clocktree synthesis
US7979825B2 (en) * 2008-03-31 2011-07-12 International Business Machines Corporation Method and system for the calculation of the sensitivities of an electrical parameter of an integrated circuit
US8255196B2 (en) * 2008-08-25 2012-08-28 Fujitsu Limited Constructing a replica-based clock tree
JP5293488B2 (ja) * 2009-08-05 2013-09-18 富士通セミコンダクター株式会社 設計支援プログラム、設計支援装置、および設計支援方法
US8446748B2 (en) * 2011-08-04 2013-05-21 International Business Machines Corporation Content addressable memories with wireline compensation
JP5244990B1 (ja) * 2012-03-01 2013-07-24 株式会社東芝 不良検出装置
US8726210B2 (en) 2012-03-09 2014-05-13 International Business Machines Corporation Optimizing timing critical paths by modulating systemic process variation
US8762904B2 (en) * 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
US9584129B1 (en) * 2014-06-20 2017-02-28 Altera Corporation Integrated circuit applications using partial reconfiguration
US10417375B2 (en) 2017-08-29 2019-09-17 International Business Machines Corporation Time-driven placement and/or cloning of components for an integrated circuit

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5235521A (en) * 1990-03-30 1993-08-10 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
JPH10125788A (ja) 1996-10-16 1998-05-15 Matsushita Electric Ind Co Ltd 論理回路最適化処理方法
JP3052871B2 (ja) 1997-01-31 2000-06-19 日本電気株式会社 半導体集積回路装置
JP2001338006A (ja) * 2000-05-24 2001-12-07 Hitachi Ltd 論理自動設計支援方法および装置
JP2002117092A (ja) * 2000-10-05 2002-04-19 Fujitsu Ltd 半導体集積回路装置の設計方法、及び設計装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011096014A (ja) * 2009-10-29 2011-05-12 Renesas Electronics Corp タイミング解析装置、タイミング解析方法、及びタイミング解析プログラム

Also Published As

Publication number Publication date
US6904572B2 (en) 2005-06-07
JP3615191B2 (ja) 2005-01-26
US20030167451A1 (en) 2003-09-04

Similar Documents

Publication Publication Date Title
JP3615191B2 (ja) 半導体集積回路装置の設計方法、設計装置、及び設計プログラム
US7487475B1 (en) Systems, methods, and apparatus to perform statistical static timing analysis
US6286126B1 (en) Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits using best and worst case delay models for nets therein
US6240542B1 (en) Poly routing for chip interconnects with minimal impact on chip performance
US8479141B1 (en) Automation using spine routing
US7467367B1 (en) Method and system for clock tree synthesis of an integrated circuit
US8423940B2 (en) Early noise detection and noise aware routing in circuit design
US20090064080A1 (en) Buffer insertion to reduce wirelength in vlsi circuits
JP4530049B2 (ja) 半導体装置の設計プログラムおよび半導体装置の設計システム
EP3343413A2 (en) Methods for reducing delay on integrated circuits
US9754070B2 (en) Path-based floorplan analysis
US9147030B2 (en) Multiple-instantiated-module (mim) aware pin assignment
US20050050502A1 (en) Method and apparatus for designing semiconductor integrated circuit
US8589846B2 (en) Modeling transition effects for circuit optimization
US5903471A (en) Method for optimizing element sizes in a semiconductor device
US8015532B2 (en) Optimal timing-driven cloning under linear delay model
US7698671B2 (en) Method for designing semiconductor integrated circuits having air gaps.
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
US20110185335A1 (en) Determining an order for visiting circuit blocks in a circuit design for fixing design requirement violations
US9384309B2 (en) Global timing modeling within a local context
US8108818B2 (en) Method and system for point-to-point fast delay estimation for VLSI circuits
US6502230B1 (en) Circuit modeling
Koehl et al. IBM's 50 million gate ASICs
US20220114321A1 (en) Systems And Methods For Generating Placements For Circuit Designs Using Pyramidal Flows

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040608

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041019

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041028

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071112

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081112

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091112

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101112

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees