JP3201967B2 - 低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造 - Google Patents

低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造

Info

Publication number
JP3201967B2
JP3201967B2 JP2270197A JP2270197A JP3201967B2 JP 3201967 B2 JP3201967 B2 JP 3201967B2 JP 2270197 A JP2270197 A JP 2270197A JP 2270197 A JP2270197 A JP 2270197A JP 3201967 B2 JP3201967 B2 JP 3201967B2
Authority
JP
Japan
Prior art keywords
layer
fluorinated
interconnect structure
carbon layer
insulator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2270197A
Other languages
English (en)
Other versions
JPH09246264A (ja
Inventor
アルフレッド・グリル
ヴィシュヌバーイ・ヴィッタルバーイ・パテル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH09246264A publication Critical patent/JPH09246264A/ja
Application granted granted Critical
Publication of JP3201967B2 publication Critical patent/JP3201967B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は非晶質フッ素化炭素
皮膜およびその製法に関するものであり、さらに詳細に
は熱安定性が高く、誘電率が低い、電子装置の絶縁層と
して使用するのに適した非晶質フッ素化炭素皮膜および
そのような皮膜の製法に関するものである。
【0002】
【従来の技術】半導体チップは、金属配線のパターンに
より接点が相互接続された装置のアレイにより構成され
ている。たとえば、VLSIチップでは、金属パターン
が多層化され、誘電率が低いことを特徴とする絶縁材料
の層により分離されている。金属配線パターン間の層間
接点は、絶縁材料の層をエッチングした貫通孔によりつ
くられる。代表的なチップの設計では、1層または複数
の配線層がある。誘電率の低い絶縁材料が、同一レベル
の配線間にも、各種の配線レベルの間にも使用されてい
る。
【0003】代表的なVLSIチップでは、この絶縁材
料は誘電率が約3.9ないし約4.1の二酸化シリコン
である。チップの速度は絶縁体のRC値により影響を受
けるので、速度性能を増大させるにはキャパシタンス
(C)を減少させることが必要である。チップの集積度
を高めるための探求の結果、寸法が縮小すると、絶縁体
の誘電率を著しく減少させない限り、キャパシタンス値
を増大させる傾向がある。さらに、チップの設計に大規
模集積の使用が増大するにつれて、バックエンド配線密
度が増大する。配線密度が増大すると、VLSIおよび
ULSI装置の性能を改善するため、これまでより誘電
率が低い絶縁材料、すなわち層間誘電(ILD)材料の
必要性が生じる。
【0004】二酸化シリコンに代わる可能性のある材料
として、誘電率の低い各種の材料が検討されている。た
とえば、ILD材料の候補中では、フッ素化炭素重合体
の誘電率が最も低く、3未満であると考えられる。しか
し、フッ素化重合体など、誘電率の著しく低い材料はほ
とんどが、350℃を超えるチップ加工温度では熱に不
安定で、このため現在の半導体製造技術での集積には適
当でない。このような技術では、BEOL誘電体を付着
させた後、400℃を超える加工温度での熱に耐えるこ
とが必要とされる場合が多い。
【0005】
【発明が解決しようとする課題】したがって、本発明の
目的は、電子装置の誘電絶縁層として使用するための、
従来の技術による誘電体材料の欠点を持たない、低誘電
率の絶縁材料を提供することにある。
【0006】本発明の他の目的は、電子装置の誘電絶縁
層として使用するための、半導体加工温度において熱的
に安定な低誘電率の絶縁材料を提供することにある。
【0007】本発明の他の目的は、半導体装置の誘電絶
縁層として使用するための、400℃までの非酸化雰囲
気中で熱に対して安定な低誘電率の絶縁材料を提供する
ことにある。
【0008】本発明の他の目的は、半導体装置の層間に
も層内にも適用される誘電絶縁材料として使用するため
の、非酸化雰囲気中で熱に対して安定な低誘電率の絶縁
材料を提供することにある。
【0009】本発明の他の目的は、半導体装置の誘電絶
縁層として使用するための、誘電率が3.0より小さい
低誘電率の絶縁材料を提供することにある。
【0010】本発明の他の目的は、半導体装置の1層ま
たは複数レベルの導体を分離するための低誘電率の絶縁
材料を提供することにある。
【0011】本発明の他の目的は、半導体装置の誘電絶
縁層として使用するための、フッ素化環式炭化水素前駆
物質から生成することができる低誘電率の非晶質フッ素
化炭素材料を提供することにある。
【0012】本発明の他の目的は、半導体装置の誘電絶
縁層として使用するための、前記フッ素化環式炭化水素
が、ヘキサフルオロベンゼン、1,2−ジエチニルテト
ラフルオロベンゼン、および1,4−ビス(トリフルオ
ロメチル)ベンゼンなどのフッ素化環式炭化水素前駆物
質から生成することができる低誘電率の非晶質フッ素化
炭素材料を提供することにある。
【0013】本発明の他の目的は、VLSIまたはUL
SI装置の誘電絶縁層として使用するための、放射また
はビームを使用する付着技術により付着させることがで
きる低誘電率の非晶質フッ素化炭素材料を提供すること
にある。
【0014】
【課題を解決するための手段】本発明によれば、半導体
装置の誘電絶縁層として使用するための、フッ素化環式
炭化水素前駆物質から形成された非晶質フッ素化炭素皮
膜およびその製法が提供される。
【0015】好ましい実施例では、誘電絶縁層として使
用するための非晶質フッ素化炭素皮膜が、ヘキサフルオ
ロベンゼン、1,2−ジエチニルテトラフルオロベンゼ
ン、および1,4−ビス(トリフルオロメチル)ベンゼ
ンからなるグループから選択された前駆物質から生成さ
れる。この皮膜は、レーザを使用する付着技術、および
プラズマを使用する化学的気相付着技術などの放射また
はビームを使用する付着技術により形成される。付着し
た皮膜は、400℃までの非酸化雰囲気中では熱に対し
て安定で、誘電率が3.0より小さい。この皮膜は、相
互接続構造中の導体を分離するための絶縁体など、電子
装置の相互接続誘電層として使用することができる。
【0016】代替実施例では、非晶質フッ素化炭素皮膜
が、フッ素化されないダイアモンド状炭素の中間層の
上、または2層のフッ素化されないダイアモンド状炭素
層の中間に付着される。
【0017】他の代替実施例では、フッ素化炭素層と、
フッ素化されないダイアモンド状炭素層との間に、勾配
層がさらに付着される。
【0018】本発明はさらに、上面が、露出した第1の
金属層である基板と、フッ素化環式炭化水素前駆物質か
ら形成された非晶質フッ素化炭素の絶縁層と、絶縁層の
上に複数の導体を形成するようにパターン形成された第
2の金属層とからなる、電子装置中の1層以上の導体を
分離するための絶縁体に関するものである。この基板
は、上面に、露出した第1の金属領域と、露出した第2
の絶縁体領域を有するものであってもよい。第2の絶縁
体領域は、層内の絶縁の目的で使用される。絶縁体はさ
らに、2層の誘電層の接着を改善するため、フッ素化炭
素層と、フッ素化されないダイアモンド状炭素層との間
に、勾配層をさらに有するものであってもよい。2層の
誘電層の間の勾配層により、連続的な転移が得られる。
【0019】本発明はさらに、基板と、基板の上面に付
着させた第1の導電材料の層と、第1の導電材料の層の
上面に付着させた、フッ素化環式炭化水素前駆物質から
形成した非晶質フッ素化炭素の層と、非晶質フッ素化炭
素の層の上に付着させた、第2の導電材料の層と、第1
の導電材料の層と第2の導電材料の層とを接続する金属
スタッドとからなる、集積回路装置に使用する相互接続
構造に関するものである。第1および第2の導電性材料
は、アルミニウム、銅、タングステン、タンタル、チタ
ン、これらの合金、および導電性の金属窒化物とするこ
とができる。この非晶質フッ素化炭素の層は誘電率が
3.0より低く、層によっては誘電率が2.8より低い
ものもある。この非晶質フッ素化炭素の層は400℃ま
での非酸化雰囲気中では熱に対して安定である。代替実
施例のひとつでは、フッ素化炭素の層が、フッ素化され
ないダイアモンド状炭素の中間層の上、または2層のフ
ッ素化されないダイアモンド状炭素層の中間に付着され
る。他の代替実施例では、フッ素化炭素層と、フッ素化
されないダイアモンド状炭素層との間に、勾配層がさら
に付着される。
【0020】
【発明の実施の形態】本発明は、フッ素化環式炭化水素
前駆物質から形成される電子装置の誘電絶縁層として使
用するための、非晶質フッ素化炭素皮膜と、その製法を
提供するものである。
【0021】本発明は、VLSIおよびULSI相互接
続の誘電体として適した、新規の、熱安定性の高い低誘
電率の材料の製法を開示する。本発明によれば、熱に安
定な非晶質フッ素化炭素(a−F:C)材料の皮膜は、
イオン・ビームを使用する付着技術、レーザを使用する
付着技術、プラズマを使用する化学的気相付着技術(P
ACVD)などの放射またはビームを使用する付着技術
によりフッ素化環式炭化水素から形成される。好ましい
技術はPACVDで、これによれば低イプシロンの材料
を広い面積に均一に付着させることができる。非晶質フ
ッ素化炭素皮膜を形成するための代表的な前駆物質は、
ヘキサフルオロベンゼン(C66)、1,2−ジエチニ
ルテトラフルオロベンゼン(C64(C2H)2)、およ
び1,4−ビス(トリフルオロメチル)ベンゼン(C6
4(CF32)である。
【0022】本皮膜およびその製法は、半導体製造技術
における集積に適している。本皮膜は、高周波または直
流PACVDにより、好ましくは負にバイアスした基板
上の広い面積に均一に付着させることができる。基板の
温度は、室温と250℃の間に設定することができる。
このようにして作成した架橋皮膜は誘電率が低く、構造
的異方性がなく、電気抵抗率が高く、化学的に不活性で
ある特徴を有する。
【0023】代表的なPACVD工程では、最初に半導
体装置を平行板プラズマ反応装置に入れ、電極のひとつ
になるように電気的に接続する。反応装置が負の圧力に
なるように減圧した後、フッ素化環式炭化水素の蒸気お
よび水素の適当な反応気体の混合物を、反応装置に流入
させ、反応装置内の圧力を約30ミリトルないし約30
0ミリトルの範囲のプリセットした値に保持する。次に
コーティングされる装置がアースまたは反応装置の他の
部分に対して負にバイアスされるように、直流または高
周波電力を反応装置の電極に供給して、プラズマを点火
する。所期の厚さのコーティングが得られるまで、装置
をプラズマ中に保持する。好ましいコーティングの厚さ
は、1層当たり約0.3μmないし約1.5μmであ
る。
【0024】例1 8インチのウェーハにa−F:Cを付着させる方法の一
例は、平行板高周波PACVD装置を使用し、ヘキサフ
ルオロベンゼンを前駆物質として、下記の条件で行うも
のである。 流速=10sccm 圧力=30ミリトル 基板バイアス=直流−100V 高周波電力=25W 基板温度=180℃
【0025】20分の付着時間の後、厚さが1μmの非
晶質フッ素化炭素皮膜が得られる。
【0026】例2 8インチのウェーハにa−F:Cを付着させる方法の他
の例は、平行板直流PACVD装置を使用し、ヘキサフ
ルオロベンゼンを前駆物質として、下記の条件で行うも
のである。 流速=20sccm 圧力=100ミリトル 基板バイアス=直流−500Vまたは直流−800V 高周波電力密度=0.1W/cm2 基板温度=250℃
【0027】20分の付着時間の後、厚さが1μmの非
晶質フッ素化炭素皮膜が得られる。
【0028】付着した皮膜の誘電率は2.8以下で、真
空またはヘリウム中、400℃で少なくとも4時間熱的
に安定である。非晶質フッ素化炭素皮膜は、酸素反応性
イオン・エッチング技術を使用してバイアを形成するた
めのパターン形成、および(または)平坦化することが
できる。したがって、この皮膜は集積電子装置またはパ
ッケージングの等方性の誘電率の低い絶縁体として、た
とえばCMOS装置に接触させるためのバックエンド構
造として、使用するために適している。本発明の新規の
非晶質フッ素化炭素皮膜はまた、相互接続構造中で絶縁
層として使用することもできる。相互接続構造は通常、
上面が、露出した第1の金属層である基板と、絶縁材料
の露出した第2の領域と、基板の上面上に形成した非晶
質フッ素化炭素の第1の層と、フッ素化炭素の層の上に
複数の導体を形成するためにパターンを形成した金属の
第2の層と、選択した第1の領域を複数の導体の1個以
上に電気的に接続する金属スタッドを有する。
【0029】上述の集積半導体装置を図1に示す。集積
半導体装置10は、露出した金属の第1の領域16と、
露出した絶縁材料の第2の領域18を含む上面14を有
する基板12を有する。フッ素化炭素材料の層20を基
板の上面14上に付着させる。金属スタッド24は、フ
ッ素化炭素材料の層20を貫通して、露出した第1の領
域16を、引き続き形成される第2の金属層22と接続
するために形成される。本発明の相互接続構造に使用で
きる適当な金属は、Al、Cu、W、Ti、Ta、これ
らの合金、および導電性の金属窒化物である。これらの
金属は、スパッタリングまたは化学的気相付着技術を用
いて付着させることができる。
【0030】非晶質フッ素化炭素皮膜には、濃度範囲が
約0ないし約20原子%、好ましくは約0ないし約5原
子%の、少量の水素を含有することが望ましい。このよ
うな少量の水素は、皮膜の強度を改善することが知られ
ている。しかし、皮膜の誘電率は一般に水素含有量とと
もに増大するため、水素の全含有量は限定される。
【0031】電界効果トランジスタ(FET)における
本発明の非晶質フッ素化炭素皮膜の第2の応用例を図2
に示す。このFETは、集積回路チップの1レベル以上
の導体を分離するための絶縁体を含む。図2は、ソース
およびドレイン領域34を注入した基板32、多結晶シ
リコンのゲート領域36、および埋め込まれた酸化物領
域38を有するFET装置30を示す。このFET装置
30はさらに、CVDによるタングステンのトレンチ充
填物40、二酸化シリコンまたは窒化シリコンのパッシ
ベーション層41、銅、アルミニウムまたはチタンの相
互接続42および43、Ti、Ta、Wまたはこれらの
化合物もしくは合金の金属ライナ44、W、Al、また
はCuを充填したレベル間バイア45、フッ素化炭素層
46、およびフッ素化炭素材料、二酸化シリコン、窒化
シリコン、窒化ホウ素、またはこれらの化合物のキャッ
ピング層47を有する。FET装置30のフッ素化炭素
層46は、FET装置の接点レベル42と43を分離す
るための絶縁体として機能する。フッ素化炭素絶縁体
は、すべての方向に均一な低い誘電率を有する。したが
って、本発明に教示するフッ素化炭素絶縁体は、従来の
技術による絶縁体と比較して、顕著な改善を示す。
【0032】ULSI相互接続構造における本発明の非
晶質フッ素化炭素皮膜の第3の応用例を図3に示す。こ
のULSI相互接続構造50は、基板52、Cuの相互
接続およびスタッド54、Taのライナ56、フッ素化
炭素のレベル間およびレベル内誘電層58、Siをドー
ピングしたフッ素化炭素またはSiをドーピングした非
フッ素化ダイアモンド状炭素の反応性イオン・エッチン
グのエッチ・ストップ、およびバリア層60を有する。
非晶質フッ素化炭素皮膜は、非フッ素化ダイアモンド状
炭素の中間層上、または2層の非フッ素化ダイアモンド
状炭素層の間に形成されることに注目すべきである。相
互接続層はさらに、フッ素化炭素層と非フッ素化ダイア
モンド状炭素層の間に勾配層を有するものでもよい。勾
配層の使用は、2層の誘電層間に連続的な転移を行うこ
とにより2層の間の接着を改善することにある。
【0033】本発明について説明のための様式で説明を
行ったが、使用した用語は、限定のためではなく、説明
のための用語の本質として使用することを意図したもの
であることを理解すべきである。
【0034】さらに、本発明は好ましい実施例と、その
いくつかの代替実施例について記載されているが、当業
者は容易にこれらの教示を他の可能な本発明の変形に適
用することができる。たとえば、フッ素化炭素皮膜と非
フッ素化ダイアモンド状炭素皮膜の他の多層構造を使用
することも、さらに、他のコーティング法を使用して、
皮膜を付着させ、しかも本発明の好ましい結果と実質的
に同様の結果を得ることも可能である。
【図面の簡単な説明】
【図1】半導体装置の2層の金属領域を分離する絶縁層
として、非晶質フッ素化炭素の層を有する半導体装置を
示す拡大断面図である。
【図2】第1および第2の誘電絶縁層として、非晶質フ
ッ素化炭素の層を有するCMOS構造の電界効果トラン
ジスタを示す拡大断面図である。
【図3】レベル間およびレベル内の誘電層として、非晶
質フッ素化炭素の層を有するULSI相互接続構造を示
す拡大断面図である。
【符号の説明】
10 集積半導体装置 12 基板 14 基板上面 16 金属領域 18 絶縁材料領域 20 フッ素化炭素層 22 第2金属領域 30 FET装置 32 基板 34 ソースおよびドレイン領域 36 多結晶シリコンのゲート領域 38 酸化物領域 40 タングステン・トレンチ充填物 41 パッシベーション層 42 相互接続 43 相互接続 44 金属ライナ 45 バイア 46 フッ素化炭素層 47 キャッピング層 52 基板 54 スタッド 56 Taライナ 58 フッ素化炭素レベル内、レベル間絶縁層 60 バリア層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヴィシュヌバーイ・ヴィッタルバーイ・ パテル アメリカ合衆国10598 ニューヨーク州 ヨークタウン ウィロウェイ・ストリー ト 2289 (56)参考文献 特開 平5−230659(JP,A) 特開 平8−321217(JP,A) 特許3184746(JP,B2) (58)調査した分野(Int.Cl.7,DB名) C01B 31/00 C23C 14/00 - 16/56 H01L 21/314 H01L 21/768 JICSTファイル(JOIS)

Claims (16)

    (57)【特許請求の範囲】
  1. 【請求項1】フッ素化環式炭化水素前駆物質から形成さ
    れた非晶質フッ素化炭素層を含む、電子装置中の1層以
    上の導体を分離するための絶縁体。
  2. 【請求項2】前記フッ素化環式炭化水素前駆物質が、ヘ
    キサフルオロベンゼン、1,2−ジエチニルテトラフル
    オロベンゼン、および1,4−ビス(トリフルオロメチ
    ル)ベンゼンからなるグループから選択されたものであ
    ることを特徴とする、請求項1に記載の絶縁体。
  3. 【請求項3】前記電子装置は基板を有し、該基板の上面
    が、金属の露出した第1の領域と、絶縁体の露出した第
    2の領域とを有することを特徴とする、請求項1に記載
    の絶縁体。
  4. 【請求項4】前記非晶質フッ素化炭素層の誘電率が3.
    0より小さいことを特徴とする、請求項1に記載の絶縁
    体。
  5. 【請求項5】前記非晶質フッ素化炭素層が、400℃ま
    での非酸化雰囲気中では熱に対して安定であることを特
    徴とする、請求項1に記載の絶縁体。
  6. 【請求項6】前記絶縁体はフッ素化されないダイアモン
    ド状炭素の層を含み、 前記非晶質フッ素化炭素層が、前記フッ素化されないダ
    イアモンド状炭素層の上に形成されることを特徴とす
    る、請求項1に記載の絶縁体。
  7. 【請求項7】前記非晶質フッ素化炭素層と、前記フッ素
    化されないダイアモンド状炭素層との間に、勾配層をさ
    らに有することを特徴とする、請求項6に記載の絶縁
    体。
  8. 【請求項8】上面を有する基板と、 前記基板の上面に付着された第1の導電材料の層と、 前記第1の導電材料の層の上面に設けられた、フッ素化
    環式炭化水素前駆物質から形成した非晶質フッ素化炭素
    の層と、 前記非晶質フッ素化炭素の層の上に付着された、第2の
    導電材料の層と、 前記第1の導電材料の層と第2の導電材料の層とを接続
    する金属スタッドとを含む、集積回路装置に使用する相
    互接続構造。
  9. 【請求項9】前記フッ素化環式炭化水素前駆物質が、ヘ
    キサフルオロベンゼン、1,2−ジエチニルテトラフル
    オロベンゼン、および1,4−ビス(トリフルオロメチ
    ル)ベンゼンからなるグループから選択されたものであ
    ることを特徴とする、請求項8に記載の相互接続構造。
  10. 【請求項10】前記第1および第2の導電材料が、A
    l、Cu、W、Ta、Ti、これらの合金、および導電
    性の金属窒化物であることを特徴とする、請求項8に記
    載の相互接続構造。
  11. 【請求項11】前記基板が集積回路チップであることを
    特徴とする、請求項8に記載の相互接続構造。
  12. 【請求項12】前記非晶質フッ素化炭素層の誘電率が
    3.0より小さいことを特徴とする、請求項8に記載の
    相互接続構造。
  13. 【請求項13】前記非晶質フッ素化炭素層が、400℃
    までの非酸化雰囲気中では熱に対して安定であることを
    特徴とする、請求項8に記載の相互接続構造。
  14. 【請求項14】前記非晶質フッ素化炭素層が、フッ素化
    されないダイアモンド状炭素の中間層の上に付着される
    ことを特徴とする、請求項8に記載の相互接続構造。
  15. 【請求項15】前記非晶質フッ素化炭素層が、2層のフ
    ッ素化されないダイアモンド状炭素層の中間に付着され
    ることを特徴とする、請求項8に記載の相互接続構造。
  16. 【請求項16】前記非晶質フッ素化炭素層と、前記フッ
    素化されないダイアモンド状炭素層との間に、勾配層を
    さらに有することを特徴とする、請求項14または15
    に記載の相互接続構造。
JP2270197A 1996-02-29 1997-02-05 低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造 Expired - Fee Related JP3201967B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/608893 1996-02-29
US08/608,893 US5942328A (en) 1996-02-29 1996-02-29 Low dielectric constant amorphous fluorinated carbon and method of preparation

Publications (2)

Publication Number Publication Date
JPH09246264A JPH09246264A (ja) 1997-09-19
JP3201967B2 true JP3201967B2 (ja) 2001-08-27

Family

ID=24438507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2270197A Expired - Fee Related JP3201967B2 (ja) 1996-02-29 1997-02-05 低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造

Country Status (4)

Country Link
US (4) US5942328A (ja)
JP (1) JP3201967B2 (ja)
KR (1) KR970063568A (ja)
TW (1) TW305072B (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19514079A1 (de) * 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Passivieren einer Siliciumcarbid-Oberfläche gegenüber Sauerstoff
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JP3469761B2 (ja) * 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
WO1999028962A1 (fr) 1997-11-27 1999-06-10 Tokyo Electron Limited Procede de formation de films de plasma
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
JP4355039B2 (ja) 1998-05-07 2009-10-28 東京エレクトロン株式会社 半導体装置及び半導体装置の製造方法
US6184157B1 (en) * 1998-06-01 2001-02-06 Sharp Laboratories Of America, Inc. Stress-loaded film and method for same
JP2000150516A (ja) 1998-09-02 2000-05-30 Tokyo Electron Ltd 半導体装置の製造方法
WO2000019507A1 (fr) 1998-09-28 2000-04-06 Tokyo Electron Limited Depot de film assiste par plasma
JP2000208622A (ja) * 1999-01-12 2000-07-28 Tokyo Electron Ltd 半導体装置及びその製造方法
SG81991A1 (en) * 1999-05-25 2001-07-24 Tokyo Electron Ltd Method for producing insulator film
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
WO2000079020A1 (fr) * 1999-06-18 2000-12-28 Nissin Electric Co., Ltd. Film de carbone, procede de formation associe, article recouvert de ce film, et procede de preparation de cet article
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
WO2001008570A1 (en) * 1999-07-30 2001-02-08 Drukker International Bv A cutting blade for a surgical instrument
KR100356476B1 (ko) * 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 다마신 공정에서 금속층간 절연막 형성 방법
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6486557B1 (en) * 2000-02-29 2002-11-26 International Business Machines Corporation Hybrid dielectric structure for improving the stiffness of back end of the line structures
US6348395B1 (en) * 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
TW523792B (en) * 2000-09-07 2003-03-11 Toshiba Corp Semiconductor device and its manufacturing method
JP2002222878A (ja) * 2001-01-26 2002-08-09 Mitsubishi Electric Corp 不揮発性半導体装置およびその製造方法
US7087997B2 (en) * 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6576545B1 (en) * 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US6768204B1 (en) * 2001-04-05 2004-07-27 Advanced Micro Devices, Inc. Self-aligned conductive plugs in a semiconductor device
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
JP3745257B2 (ja) * 2001-08-17 2006-02-15 キヤノン販売株式会社 半導体装置及びその製造方法
JP4153212B2 (ja) * 2002-02-04 2008-09-24 富士通株式会社 テトラヘドラルアモルファスカーボン膜およびその製造方法
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US7425620B2 (en) 2002-08-14 2008-09-16 Scott Koenig FcγRIIB-specific antibodies and methods of use thereof
JP4290953B2 (ja) * 2002-09-26 2009-07-08 奇美電子股▲ふん▼有限公司 画像表示装置、有機el素子および画像表示装置の製造方法
EP2368578A1 (en) 2003-01-09 2011-09-28 Macrogenics, Inc. Identification and engineering of antibodies with variant Fc regions and methods of using same
US7700100B2 (en) 2003-01-13 2010-04-20 Macrogenics, Inc. FcγRIIB fusion proteins and compositions thereof
US20050227079A1 (en) * 2004-04-13 2005-10-13 Ravi Kramadhati V Manufacture of porous diamond films
US7352065B2 (en) * 2004-09-09 2008-04-01 Nanodynamics, Inc. Semiconductor devices having amorphous silicon-carbon dielectric and conducting layers
GB2419132B (en) * 2004-10-04 2011-01-19 C Tech Innovation Ltd Method of production of fluorinated carbon nanostructures
JPWO2006077847A1 (ja) * 2005-01-24 2008-06-19 国立大学法人京都大学 フッ化炭素膜及びその製造方法
US7355247B2 (en) * 2005-03-03 2008-04-08 Intel Corporation Silicon on diamond-like carbon devices
ES2707152T3 (es) 2005-04-15 2019-04-02 Macrogenics Inc Diacuerpos covalentes y usos de los mismos
CA2618681C (en) 2005-08-10 2015-10-27 Macrogenics, Inc. Identification and engineering of antibodies with variant fc regions and methods of using same
US7601419B2 (en) * 2005-12-19 2009-10-13 Samsung Electro-Mechanics Co., Ltd. Printed circuit board and method of manufacturing the same
SI2029173T1 (sl) 2006-06-26 2016-12-30 Macrogenics, Inc. Protitelesa, specifična za Fc RIIB, in postopki za njihovo uporabo
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
CN107226864A (zh) 2007-06-21 2017-10-03 宏观基因有限公司 共价双抗体及其用途
AU2009335798B2 (en) 2008-12-19 2014-11-27 Macrogenics, Inc. Covalent diabodies and uses thereof
US8237191B2 (en) 2009-08-11 2012-08-07 International Business Machines Corporation Heterojunction bipolar transistors and methods of manufacture
US20110081500A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of providing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US20110081503A1 (en) * 2009-10-06 2011-04-07 Tokyo Electron Limited Method of depositing stable and adhesive interface between fluorine-based low-k material and metal barrier layer
US9096877B2 (en) 2009-10-07 2015-08-04 Macrogenics, Inc. Fc region-containing polypeptides that exhibit improved effector function due to alterations of the extent of fucosylation, and methods for their use
ES2667100T3 (es) 2010-08-02 2018-05-09 Macrogenics, Inc. Diacuerpos covalentes y sus usos
KR101970025B1 (ko) 2011-04-20 2019-04-17 메디뮨 엘엘씨 B7-h1 및 pd-1과 결합하는 항체 및 다른 분자들
EP2714079B2 (en) 2011-05-21 2019-08-28 MacroGenics, Inc. Deimmunized serum-binding domains and their use for extending serum half-life
CA2845536A1 (en) 2011-08-15 2013-02-21 Amplimmune, Inc. Anti-b7-h4 antibodies and their uses
CA2894879A1 (en) 2012-12-19 2014-06-26 Amplimmune, Inc. B7-h4 specific antibodies, and compositions and methods of use thereof
KR20150100715A (ko) 2012-12-21 2015-09-02 앰플리뮨, 인크. 항-h7cr 항체
CA2913312A1 (en) 2013-05-24 2014-11-27 Medimmune, Llc Anti-b7-h5 antibodies and their uses
UA116479C2 (uk) 2013-08-09 2018-03-26 Макродженікс, Інк. БІСПЕЦИФІЧНЕ МОНОВАЛЕНТНЕ Fc-ДІАТІЛО, ЯКЕ ОДНОЧАСНО ЗВ'ЯЗУЄ CD32B I CD79b, ТА ЙОГО ЗАСТОСУВАННЯ
EP2839842A1 (en) 2013-08-23 2015-02-25 MacroGenics, Inc. Bi-specific monovalent diabodies that are capable of binding CD123 and CD3 and uses thereof
EP2840091A1 (en) 2013-08-23 2015-02-25 MacroGenics, Inc. Bi-specific diabodies that are capable of binding gpA33 and CD3 and uses thereof
US10100115B2 (en) 2014-02-14 2018-10-16 Macrogenics, Inc. Methods for the treatment of vascularizing cancers
TWI718098B (zh) 2014-05-29 2021-02-11 美商宏觀基因股份有限公司 三特異性結合分子及其使用方法
TWI693232B (zh) 2014-06-26 2020-05-11 美商宏觀基因股份有限公司 與pd-1和lag-3具有免疫反應性的共價結合的雙抗體和其使用方法
CN107250161A (zh) 2015-01-26 2017-10-13 宏观基因有限公司 包含dr5‑结合结构域的多价分子
TWI773646B (zh) 2015-06-08 2022-08-11 美商宏觀基因股份有限公司 結合lag-3的分子和其使用方法
MD3456346T2 (ro) 2015-07-30 2021-11-30 Macrogenics Inc Molecule de legare la PD-1 și LAG-3 și metode de utilizare a acestora
WO2017096017A1 (en) 2015-12-02 2017-06-08 Stsciences, Inc. Antibodies specific to glycosylated btla (b- and t- lymphocyte attenuator)
JP7090545B2 (ja) 2015-12-02 2022-06-24 ストキューブ アンド シーオー., インコーポレイテッド Btn1a1に免疫特異的に結合する抗体及び分子並びにそれらの治療的使用
UA125611C2 (uk) 2015-12-14 2022-05-04 Макродженікс, Інк. Біспецифічні молекули, що мають імунореактивність відносно pd-1 і ctla-4, і способи їх застосування
CN111051346A (zh) 2017-05-31 2020-04-21 斯特库伯株式会社 使用免疫特异性结合btn1a1的抗体和分子治疗癌症的方法
KR20200015602A (ko) 2017-05-31 2020-02-12 주식회사 에스티큐브앤컴퍼니 Btn1a1에 면역특이적으로 결합하는 항체 및 분자 및 이의 치료적 용도
WO2018226671A1 (en) 2017-06-06 2018-12-13 Stcube & Co., Inc. Methods of treating cancer using antibodies and molecules that bind to btn1a1 or btn1a1-ligands
US20220411511A1 (en) 2019-09-26 2022-12-29 Stcube & Co. Antibodies specific to glycosylated ctla-4 and methods of use thereof
WO2021072277A1 (en) 2019-10-09 2021-04-15 Stcube & Co. Antibodies specific to glycosylated lag3 and methods of use thereof
WO2023148398A1 (en) 2022-02-07 2023-08-10 Var2 Pharmaceuticals Aps Antibodies and antibody fragments and analogues specific for chondroitin sulfate

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4634648A (en) * 1985-07-05 1987-01-06 Xerox Corporation Electrophotographic imaging members with amorphous carbon
JPS62289848A (ja) * 1986-06-10 1987-12-16 Minolta Camera Co Ltd 感光体
US5238705A (en) * 1987-02-24 1993-08-24 Semiconductor Energy Laboratory Co., Ltd. Carbonaceous protective films and method of depositing the same
JP2570726B2 (ja) * 1987-03-05 1997-01-16 ミノルタ株式会社 摩擦帯電部材
US5000831A (en) * 1987-03-09 1991-03-19 Minolta Camera Kabushiki Kaisha Method of production of amorphous hydrogenated carbon layer
US4994337A (en) * 1987-06-17 1991-02-19 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer
US5256483A (en) * 1988-02-05 1993-10-26 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and apparatus
EP0381110B1 (de) * 1989-02-01 1994-06-29 Siemens Aktiengesellschaft Schutzschicht für elektroaktive Passivierschichten
DE59009167D1 (de) * 1989-02-01 1995-07-06 Siemens Ag Elektroaktive Passivierschicht.
US4959261A (en) * 1989-07-21 1990-09-25 The Dow Chemical Company Fluorinated non-graphitic carbonaceous films and foams
US5243199A (en) * 1990-01-19 1993-09-07 Sumitomo Electric Industries, Ltd. High frequency device
US5073785A (en) * 1990-04-30 1991-12-17 Xerox Corporation Coating processes for an ink jet printhead
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
JPH04305096A (ja) * 1991-04-01 1992-10-28 Sumitomo Electric Ind Ltd 高品質気相合成ダイヤモンドの低温形成法
CA2072384A1 (en) 1991-08-29 1993-03-01 Clifford L. Spiro Carbon fluoride compositions
JPH0669190A (ja) * 1992-08-21 1994-03-11 Fujitsu Ltd フッ素系樹脂膜の形成方法
JP2755078B2 (ja) * 1992-11-11 1998-05-20 富士ゼロックス株式会社 静電荷像担持用誘電体部材
JP3350833B2 (ja) * 1993-10-08 2002-11-25 株式会社リコー 電子写真用感光体
EP0683516B1 (de) * 1994-05-20 2006-01-11 Infineon Technologies AG Mehrlagenleiterplatten und Multichipmodul-Substrate
US5559367A (en) 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5512330A (en) * 1994-10-04 1996-04-30 Dearnaley; Geoffrey Parylene precursors for diamond-like carbon coatings
US5462784A (en) * 1994-11-02 1995-10-31 International Business Machines Corporation Fluorinated diamond-like carbon protective coating for magnetic recording media devices
JP3401993B2 (ja) 1995-05-26 2003-04-28 ソニー株式会社 層間絶縁膜および層間絶縁膜の形成方法
US5616372A (en) * 1995-06-07 1997-04-01 Syndia Corporation Method of applying a wear-resistant diamond coating to a substrate
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM

Also Published As

Publication number Publication date
US5945155A (en) 1999-08-31
KR970063568A (ko) 1997-09-12
TW305072B (en) 1997-05-11
US5942328A (en) 1999-08-24
JPH09246264A (ja) 1997-09-19
US6337518B1 (en) 2002-01-08
US5942769A (en) 1999-08-24

Similar Documents

Publication Publication Date Title
JP3201967B2 (ja) 低誘電率非晶質フッ素化炭素層を含む絶縁体及び相互接続構造
JP3184746B2 (ja) 半導体デバイスおよび相互接続構造の作製方法
US6417092B1 (en) Low dielectric constant etch stop films
US6424044B1 (en) Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6346747B1 (en) Method for fabricating a thermally stable diamond-like carbon film as an intralevel or interlevel dielectric in a semiconductor device and device made
US6312766B1 (en) Article comprising fluorinated diamond-like carbon and method for fabricating article
US6903445B2 (en) Semiconductor device having low-K insulating film
US6022802A (en) Low dielectric constant intermetal dielectric (IMD) by formation of air gap between metal lines
US5858869A (en) Method for fabricating intermetal dielectric insulation using anisotropic plasma oxides and low dielectric constant polymers
US6699784B2 (en) Method for depositing a low k dielectric film (K>3.5) for hard mask application
US7799693B2 (en) Method for manufacturing a semiconductor device
US6482741B1 (en) Copper wiring structure comprising a copper material buried in a hollow of an insulating film and a carbon layer between the hollow and the copper material in semiconductor device and method fabricating the same
EP1130639A1 (en) Semiconductor device and manufacture thereof
US6514850B2 (en) Interface with dielectric layer and method of making
JP2000091422A (ja) 多層配線構造の製造方法
US6566757B1 (en) Stabilization of low dielectric constant film with in situ capping layer
US20090026587A1 (en) Gradient deposition of low-k cvd materials
JP3737366B2 (ja) 半導体装置及びその製造方法
JP2004200203A (ja) 半導体装置及びその製造方法
US6444593B1 (en) Surface treatment of low-K SiOF to prevent metal interaction
US20030209805A1 (en) Flourine doped SiO2 film and method of fabrication
US6281584B1 (en) Integrated circuit with improved adhesion between interfaces of conductive and dielectric surfaces
US6472330B1 (en) Method for forming an interlayer insulating film, and semiconductor device
JP3998937B2 (ja) 銅金属化プロセスにおけるTaCNバリア層の製造方法
JP2000124310A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees