JP2023553782A - 重なり合うサセプタ及び予熱リング - Google Patents

重なり合うサセプタ及び予熱リング Download PDF

Info

Publication number
JP2023553782A
JP2023553782A JP2023523226A JP2023523226A JP2023553782A JP 2023553782 A JP2023553782 A JP 2023553782A JP 2023523226 A JP2023523226 A JP 2023523226A JP 2023523226 A JP2023523226 A JP 2023523226A JP 2023553782 A JP2023553782 A JP 2023553782A
Authority
JP
Japan
Prior art keywords
liner
susceptor
chamber volume
annular body
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023523226A
Other languages
English (en)
Inventor
チョーポン ツォン,
シューベルト チュー,
ニィ オー. ミオ,
カルティク ブペンドラ シャー,
ジーユエン イェー,
リチャード オー. コリンズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023553782A publication Critical patent/JP2023553782A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本明細書に開示される実施形態は一般的に、処理チャンバにおけるガス流制御を改善する。少なくとも1つの実施形態では、処理チャンバのためのライナーが、側壁を有する環状体と、環状体の内部から外部へとガスを排出するための、環状体に形成されたベントとを備える。当該ベントは、側壁を通じて配置された1又は複数の通気孔を備える。ライナーはさらに、基板をロード及びアンロードするための、環状体にある開口部を含む。【選択図】図1B

Description

[0001]本開示の実施形態は一般に、処理チャンバ内のガス流に関する。より具体的には、本明細書に開示される実施形態は、重なり合うサセプタ及び予熱リング、ベント付きライナー、並びにチャンバ圧力平衡化に関する。
[0002]半導体基板は、集積デバイス及びマイクロデバイスの製造など多様な用途で処理される。基板処理の1つの方法は、基板の上面に誘電体材料又は導電性金属などの材料を堆積させることを含む。例えばエピタキシーとは、基板の表面に薄い超高純度層(通常はシリコン又はゲルマニウム)を成長させる堆積プロセスである。支持体上に配置された基板の表面に平行にプロセスガスを流し、プロセスガスを熱分解してプロセスガスから基板表面に材料を堆積させることにより、横方向フローチャンバで材料を堆積させることができる。エピタキシャル成長における膜質は、堆積の間のガス流の精度に依存する。例えば、チャンバの下部内のパージガス流は、プロセスガス流又は下部へのプロセスガスの拡散を防止又は低減するのに役立つように使用することができる。しかしながら、プロセスガス流とパージガス流の間のガス交換は、堆積プロセスにとって有害となり得る。
[0003]よって、処理チャンバにおけるガス流の制御を改善する必要がある。
[0004]本開示の実施形態は一般に、処理チャンバ内のガス流に関する。より具体的には、本明細書に開示される実施形態は、重なり合うサセプタ及び予熱リング、ベント付きライナー、並びにチャンバ圧力平衡化に関する。
[0005]少なくとも1つの実施形態において、処理チャンバのためのライナーは、側壁を有する環状体と、環状体の内部から外部へとガスを排出するための、環状体に形成されたベントとを含む。ベントは、側壁を通じて配置された1又は複数の通気孔を含む。ライナーはさらに、基板のロード及びアンロードのための、環状体にある開口部を含む。
[0006]少なくとも1つの実施形態において、処理チャンバのためのアセンブリは、基板受け入れ上面を有するサセプタと、サセプタの平面の下方にある第1の容積を半径方向外側で取り囲むライナーと、ライナーに結合されるとともに、ライナーから半径方向内側に延び、サセプタに半径方向で重なる予熱リングとを含む。
[0007]少なくとも1つの実施形態において、処理チャンバは、サセプタ及び予熱リングが内部に配置されたチャンバ本体を含む。チャンバ本体は、サセプタの平面の上方に画定された上部チャンバ容積と、サセプタの平面の下方に画定された下部チャンバ容積とを含む。サセプタの一部と予熱リングの一部とは、半径方向で重なる。処理チャンバは、上部チャンバ容積からプロセスガスを排出するための、チャンバ本体の側壁を通じて配置された第1の排気ポートを含む。処理チャンバは、下部チャンバ容積からパージガスを排出するための、チャンバ本体の側壁を通じて配置された第2の排気ポートを含む。処理チャンバは、上部チャンバ容積と下部チャンバ容積との間の差圧を測定するように構成された差圧センサを含む。処理チャンバは、第1の排気ポート及び第2の排気ポートを真空源に流体接続するように構成された圧力平衡バルブを含む。圧力平衡バルブは、上部チャンバ容積と下部チャンバ容積との間の差圧を調節するように動作可能である。
[0008]先に言及した本開示の特徴が詳細に理解可能となるように、先に簡潔に要約した本開示のより具体的な説明について、実施形態(そのうち一部が添付図面に図示されている)を参照することができる。しかしながら、添付図面は例示的な実施形態を示すに過ぎないため、その範囲を限定するとみなされるべきではなく、他の同様に有効な実施形態を認めることができることに留意されたい。
少なくとも1つの実施形態による、処理チャンバの概略的な断面図である。 図1Aの一部を拡大した断面図である。 少なくとも1つの実施形態による、下部ライナーの上面のみを描いた等角図である。 図2Aの下部ライナーの側面図である。 図1Aの処理チャンバで使用可能な、異なるサセプタと予熱リングとの組み合わせの拡大断面図である。
[0014]理解を容易にするために、図に共通する同一の要素を指すためには、可能な限り同一の参照番号を使用した。1つの実施形態の要素及び特徴は、さらに言及せずとも、他の実施形態に有益に組み込むことができることが考慮される。
[0015]本開示の実施形態は一般に、処理チャンバ内のガス流に関する。より具体的には、本明細書に開示される実施形態は、重なり合うサセプタ及び予熱リング、ベント付きライナー、並びにチャンバ圧力平衡化に関する。
[0016]本明細書に開示される実施形態により、処理チャンバにおいて、特に、チャンバの上部にプロセスガス流を有し、チャンバの下部にパージガス流を有する処理チャンバにおいて、ガス流制御が改善される。本明細書に開示される実施形態により、重なり合うサセプタ及び予熱リングがもたらされ、これにより、サセプタと予熱リングとの間のギャップによってこれらの間でガス交換が起こり得る従来の装置と比較して、上部のプロセスガス流と、下部のパージガス流との間でのガス交換が減少又は防止される。
[0017]本明細書に開示される実施形態により、チャンバの上部へのパージガス流が減少又は防止され、これは、堆積プロセスにとって不利になり得るプロセスガス流の希釈防止に役立つ。いくつかの堆積プロセスでは、例えば膜形成の間に高いドーパントレベルを達成するために、主なキャリアガス流の使用量を少なくして、高い前駆体分圧を維持している。このようなプロセスの間には、上部への高いパージガス流がプロセスガス流を希釈し、これによって主なキャリアガス流を減少させることが必要となり得る。主なキャリアガス流が不所望に低いレベルに低下すると、回転による堆積の均一性調整が悪くなるなど、堆積の均一性が悪くなる。さらに、パージガス流によって、上部に粒子(例えば金属粒子)が導入され、欠陥性能に悪い影響を与える。
[0018]本明細書に開示される実施形態により、チャンバの下部へのプロセスガス流が低減又は防止され、下部表面への望ましくない材料堆積の防止に役立つ。例えば、プロセスガスがサセプタの裏面若しくは下窓の一方、又は両方に接触して膜が堆積されること(これらはいずれも、膜厚、ドーパントレベル及び欠陥形成における望ましくない変化につながるプロセスシフトをもたらし得る)を防止することができる。チャンバ下部での材料堆積を防止することにより、洗浄に伴う予防的メンテナンスの間隔が延長され、ツールの稼働率が向上する。
[0019]本明細書に開示された実施形態は、パージガス流がプロセスガスと混合されてチャンバの上部から排気されるベントなしの従来のライナーとは対照的に、チャンバの下部から直接パージガス流を排気可能なベント付きライナーを提供する。パージガス流をチャンバ下部から直接ベントすることにより、上記メカニズムに従って、堆積プロセスの均一性及びツールの稼働率が向上する。
[0020]本明細書に開示された実施形態は、圧力がプロセスガス流インプット、パージガス流インプット、及びサセプタと予熱リングとの間のギャップサイズに少なくとも部分的に基づいて受動的に制御される従来の処理チャンバとは対照的に、チャンバの上部と下部との間で動的な圧力平衡化をもたらす。動的な圧力平衡化により、上記メカニズムに従って、堆積プロセスの均一性及びツールの稼働率が向上する。
[0021]図1Aは、処理チャンバ100の概略的な断面図である。処理チャンバ100は、1又は複数の基板101を処理するため(基板101の上面への材料の堆積を含む)に、使用され得る。例えば、処理チャンバ100は、エピタキシャル堆積プロセスを行うように適合されていてよい。1つの例では、処理チャンバ100が、300mmの基板を処理するように構成されていてよい。
[0100]処理チャンバ100は一般に、チャンバ本体102、サポートシステム104、及びコントローラ106を含む。サポートシステム104は、処理チャンバ100を使用して実行される1又は複数のプロセス(例えば膜堆積)を監視及び/又は実行するための構成要素を含み得る。コントローラ106、例えばプログラマブルコンピュータは、サポートシステム104に結合され、処理チャンバ100及びサポートシステム104を制御するように適合されている。コントローラ106は、メモリ111(例えば、不揮発性メモリ)及び支持回路113と共に動作可能なプログラマブル中央処理装置(CPU)107を含む。支持回路113は従来どおり、CPU107に結合され、キャッシュ、クロック回路、入力/出力サブシステム、電源等と、処理チャンバ100の様々な構成要素に結合されたそれらの組み合わせとを含む。
[0101]いくつかの実施形態では、CPU107が、様々な監視システム構成要素及びサブプロセッサを制御するための、プログラマブルロジックコントローラ(PLC)などの工業的設定で使用される汎用コンピュータプロセッサの任意の形態の1つである。CPU107に結合されたメモリ111は非一時的であり、典型的には、1又は複数の容易に利用可能なメモリ、例えばランダムアクセスメモリ(RAM)、読み取り専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又はローカル若しくはリモートの他の任意の形態のデジタルストレージである。
[0102]ここで、メモリ111は、命令を含むコンピュータ読み取り可能な記憶媒体(例えば不揮発性メモリ)の形態であり、CPU107によって実行されると、処理チャンバ100の動作を容易にする。メモリ111内の命令は、本開示の方法を実現するプログラム(例えば、ミドルウェアアプリケーション、機器ソフトウェアアプリケーションなど)などのプログラム製品の形態である。プログラムコードは、多数の異なるプログラミング言語のいずれか1つに従っていてよい。1つの例では、本開示が、コンピュータシステムと共に使用するためにコンピュータ可読記憶媒体に格納されたプログラム製品として実施され得る。プログラム製品のプログラムは、実施形態の機能(本明細書に記載される方法を含む)を規定する。
[0103]例示的なコンピュータ可読記憶媒体には、
(i)情報が永続的に保存される書き込み不可能な記憶媒体(例えばコンピュータ内の読み取り専用メモリデバイス、例えばCD-ROMドライブで読み取り可能なCD-ROMディスク、フラッシュメモリ、ROMチップ、又はあらゆる種類のソリッドステート不揮発性半導体メモリ)、及び
(ii)変更可能な情報が格納される書き込み可能な記憶媒体(例えば、ディスケットドライブ若しくはハードディスクドライブ内のフロッピーディスク、又は任意のタイプのソリッドステートランダムアクセス半導体メモリ)
が含まれるが、これらに限られない。このようなコンピュータ可読記憶媒体は、本明細書に記載された方法の機能を指示するコンピュータ可読命令を保持する場合、本開示の実施形態である。
[0022]チャンバ本体102は、上部窓108(例えばドーム)、側壁109、及び下部窓110(例えばドーム)を有し、これらにより処理領域が画定される。基板101を支持するために用いられるサセプタ112は、処理領域に配置されている。サセプタ112は、炭化ケイ素から、又は炭化ケイ素で被覆されたグラファイトから形成されていてよい。サセプタ112は、基板受け入れ上面114を有する。サセプタ112は、支持支柱116により回転及び支持され、これらの支持支柱は、シャフト120から延びる各支持アーム118に結合されている。動作中、サセプタ112上に配置された基板101は、リフトピン124を介して基板リフトアーム122により、サセプタ112に対して上昇させることができる。
[0023]処理チャンバ100の内部容積は、サセプタ112の平面の上方にある上部チャンバ容積134(例えばプロセスガス領域)と、サセプタ112の平面の下方にある下部チャンバ容積136(例えばパージガス領域)とに分割される。
[0024]処理チャンバ100は、他の構成要素の中でもとりわけ、サセプタ112の裏側115と予熱リング132とを加熱するための、放射熱ランプ126のアレイを含む(以下でより詳細に説明する)。サセプタ112及び予熱リング132の加熱は、基板101上へのプロセスガスの熱分解に寄与し、基板101上に1又は複数の層が形成される。放射熱ランプ126は、図1Aに示すように、上部窓108の上方、下部窓110の下方、又はその両方に配置され得る。上部窓108及び下部窓110は、これらを通る熱放射の透過を容易にするために、光学的に透明な材料(例えば石英)から形成されていてよい。
[0025]放射熱ランプ126は、基板101の上面への材料の堆積を容易にするため、基板101の様々な領域における温度を独立して制御するために、サセプタ112の周囲に任意の所望のやり方で配置されていてよい。ここでは詳細に論じないが、堆積材料は、とりわけ、シリコンゲルマニウム、ガリウムヒ素、窒化ガリウム、又は窒化アルミニウムガリウムを含むことができる。各放射熱ランプ126の熱エネルギー出力は、コントローラ106を用いて精密に制御され得る。放射熱ランプ126は、処理チャンバ100の内部を約200℃~約1600℃の範囲内の温度に加熱するように構成されていてよい。
[0026]リフレクタは任意選択的に、基板101から放射される赤外光を基板101上に反射し返すために、上部窓108の上方に配置されていてよい。リフレクタは、アルミニウム又はステンレス鋼などの金属から作製されていてよい。リフレクタ領域を金などの反射率の高いコーティングでコーティングすることにより、反射の効率を向上させることができる。リフレクタは、リフレクタを冷却するため、水などの冷却流体を供給するための冷却源に結合されていてよい。
[0027]上部ライナー128は、上部窓108の下方に配置され、チャンバ構成要素(例えば側壁109又は上部窓108の周辺部)への望ましくない堆積を防止するように構成されている。上部ライナー128は、下部ライナー130に隣接して配置されている。下部ライナー130は、側壁109の内周の内側に収まるように構成されている。下部ライナー130は、上部窓108と下部窓110との間に配置されている。下部ライナー130は、下部チャンバ容積136を半径方向外側で取り囲んでいる。上部ライナー128及び下部ライナー130は、石英から形成されていてよい。
[0028]予熱リング132は、予熱リング132を支持及び位置決めするために下部ライナー130に結合される。下部ライナー130の上端129は、その上に予熱リング132を受け入れるためのプロファイルを有する。予熱リング132は、図1Aに示すように、サセプタ112が処理位置にあるときにサセプタ112の周囲に配置されるように構成されている。予熱リング132は、下部ライナー130から半径方向内側に延びている。予熱リング132及びサセプタ112が半径方向で重なり合う部分は、以下でより詳細に説明するように、上部チャンバ容積134と下部チャンバ容積136との間のガス交換を低減又は防止するように構成されている。予熱リング132は、炭化ケイ素から形成されていてよい。稼働中の予熱リング132の温度は、約100℃~約800℃の範囲内にあり得る。加熱された予熱リング132は、上部チャンバ容積134を通って流れるプロセスガスを活性化するのに役立つ。
[0029]プロセスガス供給源138から供給されたプロセスガスは、側壁109を貫通して形成されたプロセスガス入口140を介して上部チャンバ容積134に導入される。プロセスガス入口140は、上部ライナー128と下部ライナー130との間に少なくとも部分的に延びている。プロセスガス入口140は、プロセスガス流170によって示されるように、プロセスガスを概ね半径方向内側の方向に向けるように構成されている。膜形成の間、サセプタ112は、プロセスガス入口140の終端に隣接するとともに、ほぼ同じ高度にある処理位置(図1A参照)に配置されていてよく、これによってプロセスガスは、基板101の上面を少なくとも部分的に横切って画定された流路に沿って、概ね平面的な層状状態で流れることができる。プロセスガス入口140は1つしか示されていないが、プロセスガス入口140は、異なる組成、濃度、分圧、密度及び/又は速度を有する2つ以上の別個のプロセスガス流を送達するための2つ以上の入口を含むことができる。
[0030]プロセスガスは、プロセスガス入口140と反対側の処理チャンバ102の側壁109を通って形成された排気ポート(例えばプロセスガス出口142)を通って、上部チャンバ容積134から出る。プロセスガス出口142を通るプロセスガスの排気は、真空源(例えば真空ポンプ144)によって促進され、プロセスガス排出口142の下流側に流体結合される。
[0031]パージガスは、1又は複数のパージガス源148a及び/又は148bから下部チャンバ容積136に供給される。パージガス源148a及び148bは、図示したように、同じ供給源であるか、又は異なる供給源であり得る。パージガスは、不活性ガス、例えば水素又は窒素であり得る。下部チャンバ容積136におけるパージガス流は、上部チャンバ容積134から下部チャンバ容積136へのプロセスガス流又はプロセスガスの拡散を防止又は低減するのに役立つ。パージガス流は、側壁109内若しくはその周囲に形成された側方入口150、又は下部窓110に形成された底部入口160の一方又は両方を通って、下部チャンバ容積136に入る。側方入口150は、プロセスガス入口140より下の高度に配置されている。下部ライナー130と側壁109との間には半径方向に、側壁109と下部窓110との間には鉛直方向に、分配流路152が形成されている。分配流路152は、側方入口150からパージガスを受け取るために、側方入口150に流体結合されている。分配流路152は、パージガスを下部チャンバ容積136の周囲に均等に分配するために、下部ライナー130の周囲に360°、延びていてよい。分配流路152は、第2の流路154を介して下部チャンバ容積136に流体結合される。図示した第2の流路154は、下部ライナー130と下部窓110との間に形成されている。第2の流路154は、下部ライナー130の下端131に向かって半径方向内側に延びている。あるいは、第2の流路154は、下部ライナー130の本体を貫通して形成されていてよい。第2の流路154は、単独の環状流路として、又は複数の円弧状流路として形成されていてよい。第2の流路154は、プロセスガス入口140より下の高度に配置されている。図示した第2の流路154も、分配流路152より下の高度に配置されている。あるいは、第2の流路154は、分配流路152に、又はその上方に配置されていてよい。第2の流路154は、パージガス流172によって示されるように、パージガスを概ね半径方向内側の方向で下部チャンバ容積136に導くように構成されている。
[0032]上部チャンバ容積134は、サセプタ112の平面の鉛直方向上方(例えば、その基板受け入れ面114の上方、又はその上に配置された基板101の上方)に画定され、予熱リング132は、上部窓108の鉛直方向下方に画定され、側壁109の半径方向内側に画定される。下部チャンバ容積136は、サセプタ112の平面の鉛直方向下方(例えば、その裏面115の下方)に画定され、下部窓110の鉛直方向上方に画定され、下部ライナー130の半径方向内側に画定される。
[0033]基板ロード位置において、サセプタ112は、サセプタ112及び予熱リング132が半径方向で重なり合う部分同士の間に鉛直方向ギャップをもたらすために、予熱リング132に対して下降される。基板101は、チャンバ本体102内にロードされ、ギャップを通って、下部ライナー130内の対応する開口部を通ってチャンバ本体102からアンロードされるように構成されている(図2A参照)。処理位置(図1A参照)において、サセプタ112は、サセプタ112及び予熱リング132が、プロセスガス入口140の終端と第2の流路154の終端との間の高度に配置されるように上昇される。
[0034]底部入口160は、シャフト120と下部窓110との間に配置されている。底部入口160は、下部チャンバ容積136に直接、流体結合される。下部入口160は、第2の流路154より下の高度に配置されている。底部入口160は、パージガス流174によって示されるように、概ね上向き及び半径方向外方向で、パージガスを下部チャンバ容積136に導くように構成されている。底部入口160からのパージガス流174は、パージガス流172単独と比較して、下部チャンバ容積136の底部へのパージガス流を増加させるように構成されていてよい。
[0035]パージガスは、排気ポート(例えば、側壁109を貫通して形成されたパージガス出口156)を通って下部チャンバ容積136から出る。図示したパージガス出口156は、プロセスガス入口140の反対側に位置する。しかしながら、パージガス出口156は、プロセスガス入口140に対して側壁109に沿った任意の半径方向の位置に位置していてよい。下部ライナー130は、パージガスを下部チャンバ容積136から直接、パージガス出口156内に排気するためのベント133(以下でより詳細に説明する)を有する。ベント133及びパージガス出口156を通るパージガスの排気は、真空源(例えば真空ポンプ144)によって促進され、パージガス出口156の下流側に流体結合される。
[0036]差圧センサ162は、上部チャンバ容積134と下部チャンバ容積136との間の差圧を測定するように構成されている。差圧センサ162は、プロセスガス出口142及びパージガス出口156のそれぞれに結合されている。図示した差圧センサ162は、側壁109に配置されている。あるいは、差圧センサ162は、チャンバ本体102の外側に、及びチャンバ本体に隣接して位置していてよい(例えば側壁109に結合される)。差圧センサ162からの測定データは、コントローラ106及び圧力平衡バルブ166の一方又は両方に伝達される(これについては以下で詳述)。
[0037]圧力センサ164は、上部チャンバ容積134内の圧力を測定するように構成されている。処理の間、上部チャンバ容積134内の圧力は、約5トル(Torr)~約600トルであり得る。図示した圧力センサ164は、チャンバ本体102の外側に、及びチャンバ本体に隣接して位置し、側壁109に結合されている。あるいは、圧力センサ164は、側壁109に配置されていてよい。図示した圧力センサ164は、側壁109及び上部ライナー128を介して、上部チャンバ容積134に結合されている。あるいは、圧力センサ164は、上部窓108を介して、又は上部窓108と側壁109との間で、上部チャンバ容積134に結合されていてよい。圧力センサ164からの測定データは、コントローラ106及び圧力平衡バルブ166の一方又は両方に通信される。第2の圧力センサは、下部チャンバ容積136内の圧力を測定するように構成されていてよい。第2の圧力センサからの測定データは、コントローラ106及び圧力平衡バルブ166の一方又は両方に通信され得る。
[0038]圧力平衡バルブ166は、プロセスガス出口142及びパージガス出口156のそれぞれを、真空ポンプ144に流体結合する。圧力平衡バルブ166は、差圧センサ162又は圧力センサ164の一方又は両方からのデータに基づき、コントローラ106によって操作され得る。作動中、圧力平衡バルブ166は、上部チャンバ容積134と下部チャンバ容積136との間の差圧を調節するために、プロセスガス出口142を通るプロセスガスの排気及びパージガス出口156を通るパージガスの排気を調節する。上部チャンバ容積134と下部チャンバ容積136との間の圧力平衡化により、それらの間のガス交換のための駆動力を省略(remove)することができる。差圧に対するプロセス設計の許容誤差は、約±5%以下、例えば約±0.1%~約±5%、例えば約±2%~約±5%であり得る。1つの例では、上部チャンバ容積134における10トルの圧力に対して、下部チャンバ容積136は、約9.9トル~約10.1トル(すなわち、±1%の許容誤差)の範囲内に維持され得る。1つの例では、圧力平衡バルブ166が、上部チャンバ容積134と下部チャンバ容積136との間の差圧を約10%以下、例えば約5%以下、例えば約1%以下に維持するように作動可能である。
[0039]圧力平衡バルブ166は、差圧を上部チャンバ容積134又は下部チャンバ容積136のいずれかへと偏らせる(bias)ために使用され得る。1つの例では、圧力平衡弁166が、下部チャンバ容積136を上部チャンバ容積134よりも高い圧力に維持するように動作可能である。あるいは、圧力平衡バルブ166は、下部チャンバ容積136を上部チャンバ容積134よりも低い圧力に維持するように動作可能であり得る。
[0040]図1Bは、図1Aの一部を拡大した断面図である。サセプタ112は、サセプタ112の基板受け入れ上面114を半径方向外側で取り囲む、隆起した境界部180を有する。隆起した境界部180は、上部チャンバ容積134に面する上面181を有する。サセプタ112は、以下でより詳細に説明するように、予熱リング132の対応する重なり部分に重なるように構成された、半径方向外側に延びる外側フランジ182を有する。外側フランジ182は、隆起した境界部180に対して半径方向外側に延びる。外側フランジ182の上面183は、隆起した境界部180の上面181の下方に凹んでいる。
[0041]予熱リング132の本体184(例えば環状体)は、上部チャンバ容積134に面する上面185を有する。予熱リング132の上面185は、サセプタ112の上面181と同一平面にある。予熱リング132の本体184は、サセプタ112の外側フランジ182に重なるように構成された、半径方向内側に延びる内側フランジ186を有する。内側フランジ186の下面187は、(下から)本体184の下面188の上方で凹んでいる。予熱リング132の内側フランジ186は、サセプタ112の外側フランジ182の上方に配置され、基板のロード及びアンロードのために、サセプタ112を予熱リング132に対して下降させることができる。図1Bに示したように、予熱リング132の内側フランジ186とサセプタ112の外側フランジ182とは、互いに間隔を空けて離れている(例えば、互いに接触しない)。図示した処理位置では、サセプタ112の外側フランジ182の上面183と、予熱リング132の内側フランジ186の下面187との間の鉛直方向ギャップ189は、約1mm以下、例えば約0.5mm~約1mm、例えば約0.6mm~約0.8mm、例えば約0.6mmである。予熱リング132の本体184は、下面188の下方に延びる外側フランジ190を有する。外側フランジ190は、以下でより詳細に説明するように、下部ライナー130に接触し、下部ライナー130の隆起部を取り囲むように構成されている。
[0042]下部ライナー130は、上部チャンバ容積134に面する上端129に、上面191を有する。上面191は、予熱リング132の上面185及びサセプタ112の上面181と同一平面にある。下部ライナー130は、外側フランジ190を介して予熱リング132を支持するように構成された上面193を有する半径方向内側に延びる内側フランジ192を有する。内側フランジ192は、予熱リング132の外側フランジ190内に半径方向で適合するように構成されるとともに、予熱リング132を下部ライナー130上に保持し、中心を合わせるのに役立つように構成された隆起部194を有する。
[0043]図2Aは、図1Aの下部ライナー130の上面のみを描いた等角図である。図2Bは、図2Aの下部ライナー130の側面図である。よって図2A及び図2Bは、明確さのために、本明細書において一緒に説明する。下部ライナー130は一般に、第1の端部又は上端部129と、反対側の第2の端部又は下端部131とを有する環状体202を含む(図2B参照)。下部ライナー130が処理チャンバ100に配置される場合、図1Aに示したように、第1の端部129は、上部チャンバ容積134に配置され、第2の端部131は、下部チャンバ容積136に配置される。
[0044]ベント133は、下部ライナー130の本体202に形成されている。ベント133は、下部ライナー130を貫通して配置された1又は複数の通気孔212を含む。図示したように、1又は複数の通気孔212は、円形である。いくつかの他の例では、1又は複数の通気孔が、非円形(例えば、丸みを帯びた形状、多角形、下部ライナーに対して円周方向若しくは長手方向に縦方向に延びる細長いスロットの形状、任意の他の適切な形状、又はこれらの組み合わせ)であり得る。いくつかの例では、同じ下部ライナーが、異なる通気孔の組み合わせ(例えば、円形孔と細長いスロットとの組み合わせ)を含み得る。図示した1又は複数の通気孔212は、下部ライナー130の側壁208を通って半径方向に延びている。あるいは、1又は複数の通気孔212は、側壁208を通って横方向に延びてもよく、互いに平行であってもよい。図示した下部ライナー130は、14個の通気孔を有する。しかしながら、下部ライナー130は、下部チャンバ容積136からパージガスを排出するために必要な任意の数の通気孔を有し得る。1又は複数の通気孔212は、ライナー130の側壁208の周囲に円周方向に整列している。1つの例では、1又は複数の通気孔212の少なくとも1つのペアが、円周方向に整列している。1又は複数の通気孔212は、下部ライナー130の円弧状の部分内に配置されている。例えば、1又は複数の通気孔212が、ライナー130の半径方向角度214内に配置されていてよい。半径方向角度214は、約90°以下、例えば約45°以下、例えば約30°~約60°、例えば約45°であり得る。
[0045]図示した下部ライナー130は、下部ライナー130の周囲に円周方向に等間隔に配置された8つの隆起部194を有する。しかしながら下部ライナー130は、図1Bに示したように、予熱リング132を下部ライナー130上に保持し、中心を合わせることに役立てるために必要な任意の数の隆起部194を有し得る。
[0046]下部ライナー130は、下部ライナー130の外表面224の周囲に円周方向に配置された複数のタブ218を含む。複数のタブ218は、図1Aに示したように、分配流路152を第2の流路154に流体結合するため、下部ウィンドウ110と下部ライナー130の円錐部226との間に鉛直方向ギャップを設けるために、下部ウィンドウ110上に静止するように構成されている。
[0047]下部ライナー130は、側壁208に、基板のロード及びアンロードのための開口部220を有する。下部ライナー130は、プロセスガス入口140の少なくとも一部を形成するように構成された、複数の凹部222を有する(図2A参照)。複数の凹部222は、第1の端部129及び外表面224に形成されている。複数の凹部222は、互いに流体結合されている。複数の凹部222は、ベント133から円周方向に対向して配置されている。
[0048]図3は、図1Aの処理チャンバ100において使用可能な、異なるサセプタ及び予熱リングの組み合わせについての拡大断面図である。サセプタ312及び予熱リング332は、重なり合う部分を除いて、図1Bに示したものと同様である。したがって、重なり合わない部分の構造及び対応する説明は、図1Bと同様である。図1Bとは対照的に、サセプタ312の外側フランジ382と予熱リング332の内側フランジ386とは、図1Bに示すように、鉛直方向に重なり合うのに加えて、半径方向で重なり合っている。
[0049]図3では、サセプタ312の外側フランジ382が、第1の上面383aと、第1の上面383aの高度より上に延びる第2の上面383bとを有する。図示した第1の上面383a及び第2の上面383bは、サセプタ312の平面に平行である。しかしながら、いくつかの他の例では、第1の上面383a及び第2の上面383bが、サセプタ312の平面に対して鋭角又は鈍角で位置決めされ得る。内表面383cは、第1の上面383aと、第2の上面383bとを接続する。図示した内表面383cは、サセプタ312の平面に対して垂直である。しかしながら、いくつかの他の例では、内表面383cが、サセプタ312の平面に対して鋭角又は鈍角で位置決めされ得る。
[0050]図3においても、予熱リング332の半径方向内側に延びる内側フランジ386は、第1の下面387aと、第1の下面387aの高度より下に延びる第2の下面387bとを有する。図示した第1の下面387a及び第2の下面387bは、予熱リング332の平面に平行である。しかしながら、いくつかの他の例では、第1の下面387a及び第2の下面387bが、予熱リング332の平面に対して鋭角又は鈍角で位置決めされ得る。外表面387cは、第1の下面387aと第2の下面387bとを接続する。図示した外表面387cは、予熱リング332の平面に垂直である。しかしながらいくつかの他の例では、外表面387cが、予熱リング332の平面に対して鋭角又は鈍角で位置決めされ得る。図示したように、内側フランジ386のプロファイルは、図1Bに示される例と比較して、ガス流をさらに妨害する経路が形成されるように、外側フランジ382のプロファイルに適合するように成形されている。いくつかの例では、図3のガス流経路が、「曲がりくねった経路(tortuous path)」と呼ばれることがある。いくつかの例では、追加の重なり合う表面が、同じパターン又は異なるパターンに従って、サセプタ312及び予熱リング332の重なり合う部分に含まれていてよい。
[0051]図1Bと同様に、第1の上面383aと第1の下面387aとが鉛直方向に重なり合って、これらの間に第1の鉛直方向ギャップ389aを形成し、これは図1Bの鉛直方向ギャップ189と大きさが類似していてよい。図3では、ガス流を妨害する追加の鉛直方向及び半径方向のギャップが形成されている。例えば、第2の上面383bと第1の下面387aとが鉛直方向に重なり合って、その間に第2の鉛直方向ギャップ389bが形成される。また、第2の下面387bと第1の上面383aとは、鉛直方向に重なり合い、その間に第3の鉛直方向ギャップ389cが形成される。この例では、図示した第2の鉛直方向ギャップ389b及び第3の鉛直方向ギャップ389cがそれぞれ、第1の鉛直方向ギャップ389aよりも小さい。しかしながら、いくつかの他の例では、第2の鉛直方向ギャップ389b及び第3の鉛直方向ギャップ389cが、第1の鉛直方向ギャップ389aと同じサイズ又はそれ以上であり得る。この例では、図示した第2の鉛直方向ギャップ389bと第3の鉛直方向ギャップ389cとが、同じサイズである。しかしながら、いくつかの他の例では、第2の鉛直方向ギャップ389b及び第3の鉛直方向ギャップ389cが、異なるサイズであり得る。さらに、内表面383cと外表面387cとは、半径方向で重なっている。いくつかの例では、その間に形成される半径方向のギャップが、対向する表面間の接触を防ぐために、図1Bの鉛直方向ギャップ189よりも大きいサイズであり得る。有利なことに、図3に示したサセプタと予熱リングとの組み合わせにより、図1Bに示した組み合わせと比較して、基板のロード及びアンロードのためにサセプタを予熱リングに対して下げることができるようにしたまま、サセプタの平面の上部及び下部のチャンバ容積間のガス流をさらに妨害することができる。
[0052]前述のことは本発明の実施形態に向けられているが、本発明の他の実施形態及びさらなる実施形態は、その基本的な範囲から逸脱することなく考案することができ、その範囲は、以下の特許請求の範囲によって決定される。

Claims (20)

  1. 処理チャンバのためのライナーであって、
    側壁を有する環状体、
    前記環状体の内部から外部へとガスを排出するための、前記環状体に形成されたベントであって、前記側壁を通じて配置された1又は複数の通気孔を備える、ベント、並びに
    基板のロード及びアンロードのための、前記環状体にある開口部、
    を備える、ライナー。
  2. 前記環状体が、半径方向内側に延びる内側フランジを備え、前記内側フランジが、当該内側フランジ上の予熱リングを支持するように構成されている、
    請求項1に記載のライナー。
  3. 前記1又は複数の通気孔が、前記側壁を通じて、互いに平行に横方向に延びる、請求項1に記載のライナー。
  4. 前記1又は複数の通気孔が、前記ライナーの前記側壁を通じて半径方向に延びる、請求項1に記載のライナー。
  5. 前記1又は複数の通気孔が、円形孔、細長スロット、又はこれらの組み合わせを含む、請求項1に記載のライナー。
  6. 前記1又は複数の通気孔の少なくとも1つのペアが、前記ライナーの前記側壁の周囲に円周方向に整列されており、約90°以下の前記環状体の半径方向角度内に配置されている、請求項1に記載のライナー。
  7. 前記側壁に、及び前記環状体の上面に形成された複数の凹部をさらに備え、前記複数の凹部が、前記ベントと円周方向に対向して配置されている、請求項1に記載のライナー。
  8. 処理チャンバのためのアセンブリであって、
    基板受け入れ上面を有するサセプタ、
    前記サセプタの平面の下方にある第1の容積を半径方向外側で取り囲むライナー、及び
    前記ライナーに結合されるとともに、前記ライナーから半径方向内側に延び、前記サセプタに半径方向で重なる、予熱リング、
    を備える、処理チャンバのためのアセンブリ。
  9. 前記予熱リング及びサセプタが半径方向で重なる部分同士が、互いに間隔を空けて鉛直方向に離れている、請求項8に記載のアセンブリ。
  10. 前記予熱リングの半径方向で重なる部分が、前記サセプタの半径方向で重なる部分の上方に配置されている、請求項8に記載のアセンブリ。
  11. 前記予熱リング及び前記サセプタが半径方向で重なる部分同士の間の鉛直方向ギャップが、約1mm以下である、請求項8に記載のアセンブリ。
  12. 前記予熱リングが環状体を備え、当該環状体が、
    前記サセプタの半径方向外側に延びる外側フランジと重なるように構成された、半径方向内側に延びる内側フランジ、及び
    前記環状体の下面の下方に延びる外側フランジであって、前記ライナーと接触するように構成された外側フランジ、
    を備える、請求項8に記載のアセンブリ。
  13. 前記サセプタの上面、前記予熱リングの上面、及び前記ライナーの上面が、相互に実質的に同一平面にある、請求項8に記載のアセンブリ。
  14. 前記ライナーが、
    側壁を有する環状体、
    前記環状体の内部から外部へとガスを排出するための、環状体に形成されたベントであって、前記側壁を通じて配置された1又は複数の通気孔を備える、ベント、並びに
    基板のロード及びアンロードのための、前記環状体にある開口部
    を備える、請求項8に記載のアセンブリ。
  15. 処理チャンバであって、該処理チャンバは、
    サセプタ及び予熱リングが内部に配置されたチャンバ本体であって、
    前記サセプタの平面の上方に画定された上部チャンバ容積、及び
    前記サセプタの平面の下方に画定された下部チャンバ容積
    を備えるとともに、前記サセプタの一部と前記予熱リングの一部とが半径方向で重なる、チャンバ本体、
    前記上部チャンバ容積からプロセスガスを排出するための、前記チャンバ本体の側壁を通じて配置された、第1の排気ポート、
    前記下部チャンバ容積からパージガスを排出するための、前記チャンバ本体の側壁を通じて配置された、第2の排気ポート、
    前記上部チャンバ容積と前記下部チャンバ容積との間の差圧を測定するように構成された、差圧センサ、並びに
    前記第1の排気ポート及び前記第2の排気ポートを真空源に流体結合するように構成された、圧力平衡バルブ、
    を備え、
    前記圧力平衡バルブは、前記上部チャンバ容積と前記下部チャンバ容積との間の差圧を調節するように動作可能である、処理チャンバ。
  16. 前記差圧センサが、前記第1の排気ポート及び前記第2の排気ポートに結合されている、請求項15に記載の処理チャンバ。
  17. 前記圧力平衡バルブは、前記上部チャンバ容積と前記下部チャンバ容積との間の差圧を約10%以下に維持するように動作可能である、請求項15に記載の処理チャンバ。
  18. 前記圧力平衡バルブは、前記下部チャンバ容積を、前記上部チャンバ容積よりも高い圧力に維持するように動作可能である、請求項15に記載の処理チャンバ。
  19. 前記チャンバ本体に配置されるとともに、前記下部チャンバ容積を半径方向外側で取り囲むライナーをさらに備え、前記ライナーが、前記下部チャンバ容積から前記第2の排気ポートへ直接、パージガスを排出するためのベントを備える、請求項15に記載の処理チャンバ。
  20. 前記予熱リングが前記ライナーに結合されており、前記ライナーから半径方向内側に延びる、請求項19に記載の処理チャンバ。
JP2023523226A 2021-04-07 2022-03-23 重なり合うサセプタ及び予熱リング Pending JP2023553782A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/224,537 US11781212B2 (en) 2021-04-07 2021-04-07 Overlap susceptor and preheat ring
US17/224,537 2021-04-07
PCT/US2022/021463 WO2022216458A1 (en) 2021-04-07 2022-03-23 Overlap susceptor and preheat ring

Publications (1)

Publication Number Publication Date
JP2023553782A true JP2023553782A (ja) 2023-12-26

Family

ID=83510051

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023523226A Pending JP2023553782A (ja) 2021-04-07 2022-03-23 重なり合うサセプタ及び予熱リング

Country Status (7)

Country Link
US (2) US11781212B2 (ja)
EP (1) EP4320294A1 (ja)
JP (1) JP2023553782A (ja)
KR (1) KR20230070285A (ja)
CN (1) CN116324052A (ja)
TW (1) TW202247323A (ja)
WO (1) WO2022216458A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240055260A (ko) * 2022-10-20 2024-04-29 주성엔지니어링(주) 기판 처리 장치

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6645884B1 (en) * 1999-07-09 2003-11-11 Applied Materials, Inc. Method of forming a silicon nitride layer on a substrate
US6559039B2 (en) * 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber
JP3908112B2 (ja) 2002-07-29 2007-04-25 Sumco Techxiv株式会社 サセプタ、エピタキシャルウェーハ製造装置及びエピタキシャルウェーハ製造方法
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4378699B2 (ja) 2004-08-03 2009-12-09 株式会社Sumco エピタキシャル成長装置
US8372203B2 (en) * 2005-09-30 2013-02-12 Applied Materials, Inc. Apparatus temperature control and pattern compensation
US9127362B2 (en) * 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8961691B2 (en) * 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP5368393B2 (ja) * 2010-08-05 2013-12-18 東京エレクトロン株式会社 気化装置、基板処理装置及び塗布現像装置
US9890455B2 (en) 2010-10-29 2018-02-13 Applied Materials, Inc. Pre-heat ring designs to increase deposition uniformity and substrate throughput
DE102011007632B3 (de) 2011-04-18 2012-02-16 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer von Prozessgas stammenden Materialschicht auf einer Substratscheibe
JP5851149B2 (ja) * 2011-08-08 2016-02-03 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US20140083360A1 (en) * 2012-09-26 2014-03-27 Applied Materials, Inc. Process chamber having more uniform gas flow
JP5602903B2 (ja) 2013-03-14 2014-10-08 アプライド マテリアルズ インコーポレイテッド エピタキシャル成長による成膜方法、および、エピタキシャル成長装置
CN111211074B (zh) * 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US9957615B2 (en) * 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
US20160020086A1 (en) * 2014-07-18 2016-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Doping control methods and related systems
SG11201701467RA (en) * 2014-09-05 2017-03-30 Applied Materials Inc Upper dome for epi chamber
KR20170054447A (ko) 2014-09-05 2017-05-17 어플라이드 머티어리얼스, 인코포레이티드 기판들의 열적 프로세싱을 위한 서셉터 및 예열 링
CN105695936B (zh) * 2014-11-26 2018-11-06 北京北方华创微电子装备有限公司 预清洗腔室及等离子体加工设备
CN104538345B (zh) * 2014-12-31 2017-06-06 北京七星华创电子股份有限公司 一种盘状物夹持旋转装置
KR102363241B1 (ko) * 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
US9957617B2 (en) * 2015-03-30 2018-05-01 Samsung Electronics Co., Ltd. Deposition system for forming thin layer
CN113550003B (zh) 2015-05-27 2024-03-29 应用材料公司 用于高生长速率外延腔室的热屏蔽环
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
KR102039969B1 (ko) * 2017-05-12 2019-11-05 세메스 주식회사 지지 유닛 및 이를 포함하는 기판 처리 장치
JP2018206913A (ja) * 2017-06-02 2018-12-27 東京エレクトロン株式会社 部材及びプラズマ処理装置
JP6839624B2 (ja) * 2017-07-19 2021-03-10 東京エレクトロン株式会社 被処理体の処理装置、及び、処理装置の検査方法
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US11177144B2 (en) * 2018-06-04 2021-11-16 Applied Materials, Inc. Wafer spot heating with beam width modulation
JP7213648B2 (ja) * 2018-09-27 2023-01-27 東京エレクトロン株式会社 基板処理装置
CN112789366B (zh) * 2018-10-30 2023-03-14 株式会社爱发科 真空处理装置
US11319627B2 (en) * 2018-12-27 2022-05-03 Ulvac, Inc. Vacuum processing apparatus
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11289312B2 (en) * 2019-06-12 2022-03-29 Applied Materials, Inc. Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
JP7159986B2 (ja) 2019-06-27 2022-10-25 株式会社Sumco エピタキシャル成長装置およびエピタキシャルウェーハの製造方法
US11032945B2 (en) 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN112309900A (zh) * 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) * 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
JP7232737B2 (ja) * 2019-08-07 2023-03-03 東京エレクトロン株式会社 基板処理装置
US11380524B2 (en) * 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
KR20210153287A (ko) * 2020-06-10 2021-12-17 삼성전자주식회사 반도체 증착 모니터링 장치
US20220165553A1 (en) * 2020-11-20 2022-05-26 Applied Materials, Inc. L-motion slit door for substrate processing chamber
US20220349088A1 (en) * 2021-04-28 2022-11-03 Applied Materials, Inc. In-situ film growth rate monitoring apparatus, systems, and methods for substrate processing

Also Published As

Publication number Publication date
US11781212B2 (en) 2023-10-10
EP4320294A1 (en) 2024-02-14
US20220325400A1 (en) 2022-10-13
TW202247323A (zh) 2022-12-01
KR20230070285A (ko) 2023-05-22
US20240026522A1 (en) 2024-01-25
CN116324052A (zh) 2023-06-23
WO2022216458A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US10626500B2 (en) Showerhead design
US7250094B2 (en) Heat treatment apparatus
CN106463450B (zh) 在epi腔室中的基板热控制
US20160068959A1 (en) Atmospheric epitaxial deposition chamber
US20180138031A1 (en) Process chamber having separate process gas and purge gas regions
US20240026522A1 (en) Overlap susceptor and preheat ring
JP2006324610A (ja) 基板処理装置及び基板処理方法
WO2016036868A1 (en) Atmospheric epitaxial deposition chamber
US8377207B2 (en) Purge gas assembly
JP2023501304A (ja) 基板のエッジ膜厚均一性を向上させる処理キット
TWI697364B (zh) 一體的噴嘴組件、下襯裡,及包括此之用於基板處理的設備
KR102459367B1 (ko) 에피 챔버를 위한 라이너
CN101115862A (zh) 用于生长GaN晶片的晶片承载器
US6879777B2 (en) Localized heating of substrates using optics
US11236423B2 (en) Film-forming apparatus
US20240141487A1 (en) Epi overlapping disk and ring
US20240112931A1 (en) Cassette structures and related methods for batch processing in epitaxial deposition operations
US20230114751A1 (en) Substrate support
TWI685583B (zh) 有機金屬化學氣相沉積設備
US20240014065A1 (en) Flat susceptor with grid pattern and venting grooves on surface thereof
TW202240010A (zh) 沉積設備及使用交錯泵送位置的方法
CN117987806A (zh) 一种气体分配件、气体输送装置和薄膜处理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230616

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240521