JP2022532590A - 処理システム用の動的マルチゾーン流量制御 - Google Patents

処理システム用の動的マルチゾーン流量制御 Download PDF

Info

Publication number
JP2022532590A
JP2022532590A JP2021567825A JP2021567825A JP2022532590A JP 2022532590 A JP2022532590 A JP 2022532590A JP 2021567825 A JP2021567825 A JP 2021567825A JP 2021567825 A JP2021567825 A JP 2021567825A JP 2022532590 A JP2022532590 A JP 2022532590A
Authority
JP
Japan
Prior art keywords
gas
plenum
box
supply system
coupled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021567825A
Other languages
English (en)
Other versions
JP7547382B2 (ja
Inventor
ラジ, デミアン ラジ ベンジャミン
グレゴリー ユージン チシュカノフ,
シャイレンドラ スリヴァスタヴァ,
サイ ススミタ アデパリ,
ニクヒル スディンドララオ ジョラプール,
アビギャン ケシュリ,
アリソン ヤウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022532590A publication Critical patent/JP2022532590A/ja
Application granted granted Critical
Publication of JP7547382B2 publication Critical patent/JP7547382B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一例では、処理チャンバは、リッドアセンブリ、第1のガス供給、第2のガス供給、チャンバ本体、及び基板支持体を含む。リッドアセンブリは、ガスボックス、該ガスボックスを貫通するガス導管、ブロッカプレート、及びシャワーヘッドを含む。ガスボックスは、ガス分配プレナムと、該ガス分配プレナムと位置合わせされた複数の孔を含む分配プレートとを含む。ブロッカプレートは、ガスボックスに結合されて第1のプレナムを形成する。シャワーヘッドは、ブロッカプレートに結合されて第2のプレナムを形成する。第1のガス供給はガス分配プレナムに結合され、第2のガス供給システムはガス導管に結合される。チャンバ本体はシャワーヘッドに結合され、基板支持アセンブリは、チャンバ本体の内部容積内に配置され、処理中に基板を支持するように構成される。【選択図】図1A

Description

本開示の実施形態は、概して、基板処理中のガスの流れの動的制御のためのシステム及び方法に関する。
多くの半導体デバイスは、通常、基板の表面に異なる材料の複数の層を形成することによって生成される。多くの場合、半導体デバイスは、異なる材料の複数の層の複数の階層のスタックを含んでいる。例えば、3D NANDメモリでは、酸化物層及び窒化物層の複数の階層が垂直に積み重ねられ、対応するメモリセルを形成する。酸化物及び窒化物の階層の数は、約50階層から約300階層の範囲、又はそれ以上でありうる。処理中、堆積される各層は、比較的少量の局所応力の不均一性(例えば、面内歪み)を経験する。しかしながら、層の数が増加するにつれて、各層が経験する累積的な局所応力の不均一性が増加する。さらには、多くの半導体デバイスでは、層の数が多いことに起因して、経験した累積的な局所応力の不均一性が半導体デバイスの故障を引き起こす可能性がある。
したがって、局所応力の不均一性を低減するための動的に調整可能な装置が必要とされている。
一実施形態では、処理チャンバ用のリッドアセンブリは、ガスボックス、ガス導管、及びブロッカプレートを含む。ガスボックスは、第1のガス供給システムに結合されたガス分配プレナムと、ガスプレナムと位置合わせされた複数の孔を含む分配プレートとを含む。ガス導管は、ガスボックスを貫通し、第2のガス供給システムに結合される。ブロッカプレートはガスボックスに結合され、ブロッカプレートとガスボックスとの間に第1のプレナムが形成される。
一実施形態では、処理チャンバは、リッドアセンブリ、第1のガス供給、第2のガス供給、チャンバ本体、及び基板支持体を含む。リッドアセンブリは、ガスボックス、該ガスボックスを貫通するガス導管、ブロッカプレート、及びシャワーヘッドを含む。ガスボックスは、ガス分配プレナム及び分配プレートを含む。分配プレートは、ガス分配プレナムと位置合わせされた複数の孔を含む。ブロッカプレートはガスボックスに結合され、ブロッカプレートとガスボックスとの間に第1のプレナムが形成される。シャワーヘッドはブロッカプレートに結合され、シャワーヘッドとブロッカプレートとの間に第2のプレナムが形成される。第1のガス供給はガス分配プレナムに結合され、第2のガス供給システムはガス導管に結合される。チャンバ本体はシャワーヘッドに結合され、基板支持アセンブリは、チャンバ本体の内部容積内に配置され、処理中に基板を支持するように構成される。
一実施形態では、基板を処理する方法は、第1のガス供給システムによって、第1のガスをガスボックスのガス分配プレナムに提供することを含む。第1のガスは、ガス分配プレナムからガス分配プレートを通って、ブロッカプレートとガスボックスとの間に形成された第1のプレナムに流れる。該方法は、第2のガス供給システムによって、第2のガスを第1のプレナムに提供することをさらに含み、第2のガスはガスボックスを貫通するガス導管を通って流れる。第1のガスは、第1のプレナムの少なくとも一部において第2のガスと混合する。
本開示の上記の特徴を詳細に理解することができるように、その一部が添付の図面に示されている実施形態を参照することにより、上に簡単に要約されている本開示のより詳細な説明を得ることができる。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、添付の図面が例示しているのはこの開示の典型的な実施形態のみであること、したがって、添付の図面は本開示の範囲を限定すると見なすべきではないことに留意されたい。
1つ以上の実施形態による、処理チャンバの概略図 1つ以上の実施形態による、処理チャンバの概略図 1つ以上の実施形態による、ガス供給システムの概略図 1つ以上の実施形態による、分配プレートの概略図 1つ以上の実施形態による、注入点の概略図 1つ以上の実施形態による、基板を処理する方法のフローチャート
理解を容易にするため、可能な場合には、図面に共通する同一の要素を示すために同一の参照番号が用いられる。一実施形態で開示される要素は、それに関してその具体的な記述がなくとも、他の実施形態において有益に利用することができることが想定されている。
異なる材料の複数の層を基板上に堆積させて、さまざまな異なる半導体デバイスを生成することができる。例えば、3D NANDメモリを生成するために、酸化物層及び窒化物層の複数の階層が基板上に堆積される。酸化物及び窒化物の階層の数は、約50階層から約300階層の範囲でありうる。しかしながら、他の階層数も想定されている。各個別の層は、比較的小さい局所応力の不均一性(例えば、面内歪み)を有しうる;しかしながら、階層の数が増加すると、層における局所応力の不均一性が蓄積される可能性があり、したがって、プロセスの後半に堆積された層は、プロセスの初期に堆積された層よりも高い、累積された局所応力の不均一性を経験する。基板処理の動作中に処理チャンバの選択された部分に1つ以上の追加のガスを適用することによって、層における累積的な局所応力の不均一性が低減され、各層の面内歪みを低減することができる。したがって、堆積されうる層の数は、追加のガスの使用を採用しない処理システムと比較して増加する。
図1Aは、1つ以上の実施形態による処理チャンバ100Aを示している。処理チャンバ100Aは、側壁104、底部105、及びリッドアセンブリ110を有するチャンバ本体102を含む。リッドアセンブリ110の側壁104及びシャワーヘッド118は、処理容積108を画成する。処理容積108の内外へと基板を移送するために、側壁104に基板移送ポート111が形成される。処理チャンバ100Aは、とりわけ、化学気相堆積(CVD)処理チャンバ、原子層堆積(ALD)処理チャンバ、有機金属化学気相堆積(MOCVD)処理チャンバ、プラズマ化学気相堆積(PECVD)処理チャンバ、及びプラズマ原子層堆積(PEALD)処理チャンバのうちの1つでありうる。
基板支持アセンブリ126は、リッドアセンブリ110の下の処理チャンバ100Aの処理容積108内に配置される。基板支持アセンブリ126は、処理中に基板101を支持するように構成される。基板支持アセンブリ126は、それを通じて移動可能に配置された複数のリフトピン(図示せず)を含んでいてもよい。リフトピンは、基板支持アセンブリ126の支持面130から突出するように作動させることができ、それによって、基板101を基板支持アセンブリ126に対して離間した関係に置き、基板移送ポート111を介した移送ロボット(図示せず)による移送を容易にする。基板支持アセンブリ126は、シャフト129に結合されて、基板支持アセンブリ126の垂直方向の作動及び/又は回転を容易にする。
リッドアセンブリ110は、リッド106、ガスボックス114、ブロッカプレート116、及びシャワーヘッド118を含む。ガスボックス114は、該ガスボックス114の下面に形成されたガス分配プレナム120及び分配プレート122を含む。分配プレート122は、ガス分配プレナム120と位置合わせされた開孔(例えば、孔又は開口部)123を含む。1つ以上のガスが、ガス分配プレナム120から該ガス分配プレナム120の開孔123を通って流れる。
ガス分配プレナム120は、導管143を介してガス供給システム144と結合される。ガス供給システム144は、1つ以上のガスをガス分配プレナム120に供給又は提供する。ガス供給システム144の1つ以上の要素がリッドアセンブリ110に取り付けられる。ガス供給システム144は、1つ以上の前駆体又は1つ以上の不活性ガスのうちの少なくとも1つをガス分配プレナム120に供給する。例えば、ガス供給システム144は、とりわけシラン(SiH4)及びオルトケイ酸テトラエチル(TEOS)などの1つ以上の前駆体を供給することができる。さらには、ガス供給システム144は、とりわけ、アルゴン(Ar)及びヘリウム(He)などの1つ以上の不活性ガスを供給することができる。加えて、又は代替的に、ガス供給システム144は、前駆体ガス及び不活性ガスをガス分配プレナム120に同時に供給するように構成される。
ガスボックス114とブロッカプレート116との間にプレナム124が形成される。さらには、ブロッカプレート116とシャワーヘッド118との間にプレナム125が形成される。ブロッカプレート116は開孔117を含み、シャワーヘッド118は、そこを通じてガスが処理容積142内へと流れる開孔119を含む。
処理チャンバ100Aは、中心導管138をさらに含む。中心導管138はガスボックス114を貫通する。例えば、中心導管138は、リッド106及びガスボックス114を貫通して形成され、プレナム124へと開口している。中心導管138は、堆積ガス及び/又はキャリアガスなどの1つ以上のプロセスガスを、ガス供給システム140からプレナム124へと提供するように構成される。プレナム124では、中心導管138を介してガス供給システム140によって供給される一又は複数のプロセスガスの少なくとも一部が、ガス分配プレナム120から導入されたガスと混合する。例えば、ガス供給システム140によって供給されるプロセスガスは、プレナム124の領域121においてガス供給システム144によって導入されたガスと混合する。領域121において一又は複数のプロセスガスを混合すると、これらの領域の一又は複数のプロセスガスが希釈され、堆積中のプロセスガスの局所的な濃度変化に起因して、堆積された膜の1つ以上の特性が変化する。例えば、1つ以上の前駆体及び不活性ガスを利用して、面内歪みが程度の差はあれ引張及び/又は圧縮になるように、面内歪みを変更することができる。さらには、プロセス方策の異なる動作中に1つ以上の前駆体ガス及び/又は1つ以上の不活性ガスを選択的に適用することによって、面内歪みをさらに変更して、面内歪みを程度の差はあれ引張及び/又は圧縮にすることができる。
ガス分配プレナム120を通して1つ以上のガスを流すことにより、基板101上の層の面内歪み及び局所応力の不均一性のうちの少なくとも1つを変更することができる。例えば、ガス分配プレナム120を通して不活性ガスを流すことにより、処理ガスを少なくとも領域121において希釈及び分散させることができる。処理ガスは、該処理ガスがブロッカプレート116及びシャワーヘッド118を通って移動する際に、領域121に対応する半径方向の位置で希釈されたまま維持することができ、したがって、基板101上に堆積される材料の特性に影響を与える。
ブロッカプレート116の複数の開孔117は、プレナム124とプレナム125との間の流体連結を可能にする。ブロッカプレート116は、プレナム125に導入されたガス混合物を分散し、該混合物のさらなる混合を促進するように構成される。プレナム125は、シャワーヘッド118を貫通して形成された複数の開孔119を介して、シャワーヘッド118と基板支持アセンブリ126との間に画成された処理容積142と流体連結している。開孔119は、プレナム125と処理容積142との間に流体連結を提供する。
第1のガスは、ガス供給システム144によってガス分配プレナム120へと供給され、ガス分配プレナム120から分配プレート122の開孔123を通ってプレナム124内へと流れる。プロセスガスは、ガス供給システム140によって供給され、中心導管138を通ってプレナム124内へと流れる。第1のガス及びプロセスガスは、プレナム124の領域121内で混合され、混合されたガスは、ブロッカプレート116の開孔117を通ってプレナム125内へと流れる。さらには、プレナム124の領域127では、プロセスガスは、第1のガスとは混合せず、又は領域121と比較して混合が低減され、プロセスガスのみが領域127のブロッカプレート116を通って流れる。さらには、ガスは、シャワーヘッド118の開口119を通って処理容積142内へと流れる。
中心ライン170は、処理チャンバ100Aを2つの等しい部分へと二分する。加えて、中心ライン172はガス分配プレナム120の第1の部分を二分し、中心ライン174はガス分配プレナム120の第2の部分を二分する。別の言い方をすれば、中心ライン172及び174は、分配プレナム120の半径方向の中心位置に位置している。さらには、中心ライン170と中心ライン172及び174との間の距離176及び178は、約70mmから約160mmの範囲であり、等距離でありうる。あるいは、中心ライン170と中心ライン172及び174との間の距離176及び178は、約70mm未満又は約160mm超であってもよい。
コントローラ190が処理チャンバ100Aに結合される。コントローラ190は、中央処理装置(CPU)192、メモリ194、及びサポート回路196を含む。コントローラ190は、ガス供給システム144によってガス分配プレナム120に供給されるガスの量及びタイプを制御するために利用される。ガス分配プレナム120に供給されるガスのタイプ及びガスの量を制御することにより、中心導管138を介してガス供給システム140によって供給される一又は複数のプロセスガスを変化させる。例えば、コントローラ190は、第1のガスを第1の速度で供給して、プレナム124の領域121内の処理ガスを希釈する。コントローラ190は、処理方策に基づいて、ガス供給システム144によって供給される一又は複数のガス、及び一又は複数のガスがガス供給システム144によって供給される速度のうちの少なくとも1つを変更するように構成することができる。
CPU192は、産業環境で使用することができる任意の形態の汎用コンピュータプロセッサでありうる。ソフトウェアルーチンは、ランダムアクセスメモリ、読出専用メモリ、フロッピー又はハードディスクドライブ、若しくは他の形式のデジタルストレージなどのメモリ194内に格納することができる。サポート回路196は、CPU192に結合され、キャッシュ、クロック回路、入出力サブシステム、電源などを含むことができる。ソフトウェアルーチンは、CPU192によって実行されると、CPU192を、プロセスが本開示に従って実行されるようにプロセスチャンバ100Aを制御する特定の目的のコンピュータ(コントローラ)190へと変換する。ソフトウェアルーチンはまた、チャンバから遠隔に位置する第2のコントローラ(図示せず)によって格納及び/又は実行されうる。
図1Bは、1つ以上の実施形態による、追加のガス分配プレナム180を有する処理チャンバ100Bを示している。ガス分配プレナム180は、ガス分配プレナム120と同様に構成されている。ガス分配プレナム180とガス分配プレナム120は、同心円でありうる。さらには、ガス分配プレナム180はガス分配プレナム120に流体連結されている。ガス供給システム144は、1つ以上のガスを、ガス分配プレナム120及び導管143を介してガス分配プレナム180に供給又は提供する。ガス分配プレナム180を含めることにより、ガス分配プレナム120及び180によって提供されるガスが中心導管138を介して提供される処理ガスと混合する体積が増加し、基板101に対する処理ガスの効果をさらに変化させる。
ガス分配プレナム120及び180は、サイズが類似していてもよい(例えば、共通の高さ及び幅を有する)。あるいは、ガス分配プレナム120及び180のうちの一方は、他方より大きくてもよい(例えば、高さ及び幅のうちの1つ以上が大きい)。さらには、分配プレート122は、ガス分配プレナム120及びガス分配プレナム180と位置合わせされた開孔123を含む。ガス分配プレナム120及び180は、導管139によってともに結合されている。
ガス分配プレナム180の中心は、中心ライン170から約70mmから約95mmの範囲でありうる。さらには、ガス分配プレナム120の中心は、中心ライン170から約100mmから約130mmの範囲でありうる。例えば、ガス分配プレナム180は中心ライン170から約75mmであり、ガス分配プレナム120の中心は中心ライン170から約125mmである。あるいは、ガス分配プレナム180は中心ライン170から約90mmであり、ガス分配プレナム120の中心は中心ライン170から約125mmである。
図1Bは、2つのガス分配プレナム(例えば、ガス分配プレナム120、180)を有する処理チャンバ(例えば、処理チャンバ100B)のガスボックス(例えば、ガスボックス114)を示しているが、ガスボックスは、2つより多くのガス分配プレナムを含んでいてもよい。例えば、ガスボックスは、3つ以上のガス分配プレナムを有することができる。ガス分配プレナムの各々は、導管(例えば、導管139)によってともに流体連結され、ガス分配プレナムの1つは、ガス供給システム(例えば、ガス供給システム144)に結合される。さらには、ガス分配プレナムの各々は、共通のサイズ(例えば、共通の幅及び高さ)を有していてもよく、あるいは1つ以上のガス分配プレナムは、他方よりも大きくてもよい(例えば、より大きい高さ及び/又は幅を有する)。ガス分配プレナム120、180のサイズ、並びに導管139のサイズは、対応するガス分配プレナムからの所望の流量に従って変化させることができ、これは、対応するガスが処理ガスと混合する方法を変化させ、基板101に対する対応する効果を変化させる。さらには、ガス分配プレナム120、180、導管139、及び開孔123のサイズは、所望のコンダクタンスに従って変化させることができる。
図2は、1つ以上の実施形態によるガス供給システム144を示している。ガス供給システム144は、ガス供給210、212、214、及び216、バルブ220、222、224、及び226、並びにマニホールド240を含む。マニホールド240は、ガス分配プレナム120に流体連結されている。例えば、マニホールド240は、導管143を介してガス分配プレナム120に結合される。加えて、1つ以上のバルブ230は、マニホールド240と導管143との間に、導管143に沿って、及び/又は導管143とガス分配プレナム120との間に位置決めすることができる。
ガス供給210、212、214、及び216の各々は、異なるタイプ、組成、及び/又は濃度のガスを供給するように構成される。例えば、ガス供給210、212、214、及び216のうちの1つ以上は前駆体ガスを供給するように構成され、ガス供給210、212、214、及び216のうちの第2の1つ以上は不活性ガスを供給するように構成される。加えて、又は代替的に、ガス供給210、212、214、及び216のうちの2つは前駆体を供給することができ、ガス供給210、212、214、及び216のうちの2つは不活性ガスを供給することができる。例えば、ガス供給210は第1の前駆体ガスを供給することができ、ガス供給212は第1の前駆体ガスとは異なる第2の前駆体ガスを供給することができる。さらには、ガス供給214は第1の不活性ガスを供給することができ、ガス供給216は第1の不活性ガスとは異なる第2の不活性ガスを供給することができる。第1の前駆体ガスはシランであり、第2の前駆体ガスはTEOSである。さらには、第1の不活性ガスはアルゴンであり、第2の不活性ガスはヘリウムである。あるいは、異なる前駆体及び/又は不活性ガスを利用することもできる。例えば、ガス供給210、212、214、及び216のうちの1つ以上は、アンモニア(NH)を提供するように構成することができる。
図2は、ガス供給システム144を、4つのガス供給210、212、214、及び216、並びに4つのバルブ220、222、224、及び226を含むものとして示しているが、代替的に、ガス供給システム144は、4つより少ないガス供給及びバルブ、又は4つより多くのガス供給及びバルブを含んでいてもよい。加えて、又は代替的に、2つ以上のガス供給が共通のバルブに結合されていてもよい。例えば、ガス供給210及び212は、バルブ220に結合することができる。
バルブ220、222、224、及び226は、ガス供給210、212、214、及び216の対応する1つからのガスの流れを制御する。例えば、バルブ220はガス供給210からのガスの流れを制御し、バルブ222はガス供給212からのガスの流れを制御し、バルブ224はガス供給214からのガスの流れを制御し、バルブ226はガス供給216からのガスの流れを制御する。さらには、バルブ230は、マニホールド240へのガスの流れを制御する。バルブ220、222、224、及び226は、コントローラ190によって独立して制御されうる。追加のバルブは、ガス供給システム144のガス供給の数及びマニホールド240への接続の数に基づいて、追加又は削除することができる。
マニホールド240は、ガス供給210、212、214、及び216から1つ以上のガスを受け取り、1つ以上のガスをガス分配プレナム120に出力する。マニホールド240は、ガス供給210、212、214、及び216からガス分配プレナム120へのガスの流れ、例えば、ガスの流量を制御する。さらには、マニホールド240は、ガス供給210、212、214、及び216の2つ以上から供給される2つ以上のガスを混合し、混合されたガスをガス分配プレナム120に出力する。バルブ230は、バルブ220、222、224、及び226の各々の出力に流体連結され、マニホールド240へのガスの流れを制御する。あるいは、バルブ230は省略することができ、バルブ220、222、224、及び226の各々の出力はマニホールド240に直接接続することができる。
コントローラ190(図1に示される)は、対応するバルブ220、222、224、及び226の各々を開く期間を制御することによって、ガス供給210、212、214、216の各々からのガスの流量を制御する。例えば、コントローラ190は、ガスがガス供給210からマニホールド240に第1の期間流れることを可能にするために開くように、及びガス供給210からマニホールド240へのガスの流れを停止するために閉じるように、バルブ220に命令することができる。コントローラ190は、ガスがガス供給212からマニホールド240に第2の期間流れることを可能にするために開くように、及びガス供給212からマニホールド240へのガスの流れを停止するために閉じるように、バルブ222に命令することができる。さらには、コントローラ190は、ガスがガス供給214からマニホールド240に第3の期間流れることを可能にするために開くように、及びガス供給214からマニホールド240へのガスの流れを停止するために閉じるように、バルブ224に命令することができる。加えて、コントローラ190は、ガスがガス供給216からマニホールド240に第4の期間流れることを可能にするために開くように、及びガス供給216からマニホールド240へのガスの流れを停止するために閉じるように、バルブ226に命令することができる。第1、第2、第3、及び第4の期間は重複しなくてもよく、あるいは第1、第2、第3、及び第4の期間のうちの少なくとも2つが少なくとも部分的に重複していてもよい。例えば、第1、第2、第3、及び第4の期間のうちの少なくとも2つが少なくとも部分的に重複している場合、2つ以上又はガスは同時に供給されると呼ばれることがある。さらには、第1、第2、第3、及び第4の期間の長さ及び発生は、基板を処理するためのプロセス方策のステップに対応しうる。
コントローラ190は、基板を処理して半導体デバイスを生成するためのプロセス方策の動作に基づいて、各バルブ220、222、224、及び226を通るガスの流れを制御することができる。例えば、プロセス方策は、第1のタイプのプラズマ及び第2のタイプのプラズマを生成して、基板に第1の層及び第2の層を堆積させる複数の動作を含みうる。第1のタイプのプラズマは酸化物プラズマに対応してよく、第2のタイプのプラズマは窒化物プラズマに対応してよい。酸化物プラズマを利用して基板101上に酸化物層を生成することができ、窒化物プラズマを利用して基板101上に窒化物層を生成することができる。酸化物プラズマの生成は、プロセスガスのTEOS、亜酸化窒素(NO)、及び/又は酸素(O)、並びに1つ以上の不活性ガスをガス供給システム140から処理容積142に提供することを含みうる。さらには、窒化物プラズマの生成は、プロセスガスのシラン、アンモニア(NH)、及び窒素(N)、並びに1つ以上の不活性ガスをガス供給システム140から処理容積142に提供することを含みうる。さらには、酸化物と窒化物の交互の層を生成するために、プロセス方策の動作は、酸化物プラズマ及び窒化物プラズマの生成と、対応するプロセスガス及び不活性ガスの提供間の切り替えとを、交互に行うことができる。
コントローラ190は、プロセス方策の動作に基づいてバルブ220、222、224、及び226を制御し、各層について面内歪みを多かれ少なかれ引張及び圧縮にさせる。コントローラ190は、プロセス方策の動作に基づいてバルブ220、222、224、及び226を制御して、実質的に中立の面内歪みを生成することができる。例えば、コントローラ190は、プロセス方策の動作に応答して、バルブ220、222、224、及び226のうちの1つ以上を開き、ガス供給210、212、214、及び216のうちの対応する1つのガスがマニホールド240内へと流れることを可能にする。コントローラ190が一又は複数のバルブを開いたままにするように命令する時間の長さは、プロセス方策内の動作が行われるときに対応しうる。例えば、コントローラ190が第1の動作中に一又は複数のバルブを開いたままにするように命令する時間の長さは、第1の動作の後に行われる第2の動作中に、コントローラ190がバルブを開いたままにするように命令する時間の長さより短くても長くてもよい。あるいは、コントローラ190が第1の動作中に一又は複数のバルブを開いたままにするように命令する時間の長さは、第2の動作中に、コントローラ190がバルブを開いたままにするように命令する時間の長さと実質的に同様であってもよい。さらには、第1のプラズマの生成に対応する各動作中に一又は複数のバルブが開かれる時間量は、第2のプラズマの生成に対応する各動作中に一又は複数のバルブが開かれうる時間とは異なっていてもよい。さらには、プロセス方策の各動作中に一又は複数のバルブが開かれる時間量は、基板101の処理中に経時的に徐々に増加しうる。
コントローラ190は、1つ以上のバルブに、プロセス方策の第1の動作の第1の期間及び第2の動作の第2の期間中に開くように命令する。第1の動作及び第2の動作は両方とも、第1のタイプのプラズマの生成に対応してもよく、第2の動作は、第1の動作の後に行うことができる。第1の期間の長さは、第2の期間の長さより長くても、短くても、あるいは第2の期間の長さと同じであってもよい。さらには、第1の期間中に開かれるバルブは、第2の期間中に開かれるバルブと同じであってもよく、あるいは第1の期間中に開かれるバルブは、第2の期間中に開かれるバルブとは異なっていてもよい。例えば、各期間に開かれるバルブの数は異なっていてもよく、及び/又は各期間中に開かれるバルブは異なっていてもよい。したがって、コントローラ190は、第1のバルブ、例えばバルブ220に、ガス供給210からガスを提供する第1の動作に対応する第1の期間の間開くように、かつ第1のバルブに、ガス供給210からガスを提供する第2の動作に対応する第2の期間の間開くように、命令することができる。あるいは、コントローラ190は、第1のバルブ、例えばバルブ220に、ガス供給210からガスを提供する第1の動作に対応する第1の期間の間開くように、かつ第2のバルブ、例えばバルブ222に、ガス供給212からガスを提供する第2の動作に対応する第2の期間の間開くように、命令することができる。さらには、コントローラ190は、第1のバルブ、例えばバルブ220に、ガス供給210からガスを提供する第1の動作に対応する第1の期間の間開くように、かつ第1のバルブ及び第2のバルブに、ガス供給210及びガス供給212からガスを提供する第2の動作に対応する第2の期間の間開くように、命令することができる。あるいは、コントローラ190は、第1のバルブ、例えばバルブ220、及び第2のバルブ、例えば222に、ガス供給210及び212からガスを提供する第1の動作に対応する第1の期間の間開くように、かつ第1のバルブの1つに、ガス供給210及び212の一方からガスを提供する第2の動作に対応する第2の期間の間開くように、命令することができる。
加えて、又は代替的に、コントローラ190は、処理チャンバ100(例えば、処理チャンバ100A又は100B)の感知されたパラメータに基づいてバルブ220、222、224、及び226を制御することができる。例えば、コントローラ190は、処理チャンバ100(例えば、処理チャンバ100A又は100B)内に位置決めされた1つ以上のセンサからセンサデータを受け取り、それに応じて、バルブ220、222、224、及び226のうちの1つ以上を通る流れを調整することができる。センサデータは、処理容積108の1つ以上の領域におけるプロセスガスの流れに対応するデータ、及び/又は処理容積108において生成されるプラズマに対応するデータでありうる。
ガス分配プレナム120に供給されるガスの流量及び/又はガスのタイプを制御することにより、基板101上に形成された対応する層の厚さプロファイルは、例えば前駆体濃度の局所的な調整によって、変更することができる。例えば、シランをプロセス方策の窒化物プラズマ動作中に利用して、対応する窒化物層の厚さプロファイルを変更することができる。シランの流量は、約1sccmから約20sccmの範囲でありうる。さらには、ヘリウムを酸化物動作中に利用して、基板101上に形成された対応する層の厚さプロファイルを調整することができる。
コントローラ190は、バルブ230をさらに制御して、マニホールド240へのガスの流量を制御することができる。例えば、コントローラ190は、バルブ230を開閉して、マニホールド240内へとバルブ230を通る一又は複数のガスの流量を制御するように、バルブ230に命令することができる。加えて、又は代替的に、コントローラ190は、1つ以上の命令をマニホールド240に伝達することによって、マニホールド240を制御して、マニホールド240から出る一又は複数のガスの流量を制御することができる。
図3は、分配プレート122の上面図を示している。分配プレート122は、開孔123を含む領域314を含む。例えば、分配プレート122は少なくとも16個の開孔を含み、これらは同心円に配置することができる。あるいは、分配プレート122は、16個未満の開孔を含む。開孔123は、ガス分配プレナム120と位置合わせされ、ガス分配プレナムからのガスの流れを制御する。さらには、分配プレート122は、中心導管138と位置合わせされたキャビティ310を含む。キャビティ310と領域314との間には、穿孔されていない(例えば、開孔123のない)領域312がある。さらに、分配プレート122は、ガスボックス114の底部に取り付けることができる。例えば、分配プレート122は、ガスボックス114の底部に溶接することができる。開孔123のサイズ及び/又は位置は、開孔123全体にわたり、約3倍から約5倍の圧力損失をもたらすように構成することができる。
図4は、ガス分配プレナム120用のインジェクタ点400の概略的な底面図を示している。インジェクタ点400は、導管143とガス分配プレナム120との間に位置決めされる。ガス分配プレナム120は、複数のインジェクタ点400を含むことができる。さらには、各インジェクタ点400は、1つ以上の導管410を含むことができる。例えば、図4に示されるように、インジェクタ点400は、4つの導管、導管410a~410dを含む。あるいは、インジェクタ点400は、4つより多い又は4つより少ない導管を含むことができる。さらに、導管410は、図4に示されているものとは異なる位置に位置決めされてもよい。
図5は、1つ以上の実施形態による、基板を処理する方法500のフローチャートである。動作510では、第1のガスがガス分配プレナムに導入される。例えば、コントローラ190は、プロセス方策の第1の動作に基づいて、第1のガスをガス分配プレナム120に供給するようにガス供給システム144に命令することができる。第1のガスは、前駆体又は不活性ガスでありうる。図2を参照すると、コントローラ190は、ガスがガス供給210からマニホールド240内及びガス分配プレナム120内へと流れることができるように、バルブ220に第1の期間の間開くように命令することができる。コントローラ190は、基板101を処理するためのプロセス方策の第1の動作に応答して、第1の期間の間開くように、バルブ220に命令することができる。第1の動作は、処理容積142内での第1のプラズマの生成に対応しうる。
コントローラ190は、プロセス方策の動作に基づいて、バルブ220、222、224、及び226のいずれを開くべきか、及びバルブを開く時間の長さを決定することができる。例えば、コントローラ190は、プロセス方策内で動作がいつ行われるかに基づいて、時間量及びいずれのバルブ220、222、224、及び226を開くかを変更することができる。
動作520では、プレナム124に処理ガスが導入される。例えば、コントローラ190は、中心導管138を介して処理ガスをプレナム124に供給するように、ガス供給システム140に命令する。コントローラ190は、プロセス方策の第1の動作に基づいて、第1の処理ガスをプレナム124に供給することができるように、ガス供給システムに命令することができる。一例では、動作510及び520は同時に行われる。
プロセス方策の第1の動作に基づいて第1のガスを供給することは、第1の不活性ガス及び第1の前駆体のうちの少なくとも1つを供給すること、及び/又はプロセス方策の第1の動作に基づいて、ガス供給システム140によって出力された一又は複数のプロセスガスに対応する第1のガスの流量を選択することを含みうる。例えば、プロセス方策の第1の動作は、酸化物プラズマを生成して基板101上に酸化物層を堆積することを含むことができ、ガス供給システム144は、対応して、アルゴン、ヘリウム、及びTEOSのうちの少なくとも1つを出力することができる。
動作530では、第2のガスがガス分配プレナムに導入される。例えば、コントローラ190は、プロセス方策の第2の動作に基づいて、第2のガスを出力するように、ガス供給システム144に命令することができる。第2のガスは前駆体ガス又は不活性ガスでありうる。図2を参照すると、コントローラ190は、ガスがガス供給214からマニホールド240内及びガス分配プレナム120内へと流れることができるように、バルブ224に第2の期間の間開くように命令することができる。コントローラ190は、基板101を処理するためのプロセス方策の第2の動作に応答して、第2の期間の間開くように、バルブ224に命令することができる。第1の動作は、処理容積142内での第2のプラズマの生成に対応しうる。
動作540では、第2の処理ガスがプレナム124に導入される。例えば、コントローラ190は、プレナム124のプロセス方策の第2の動作に基づいて、1つ以上の処理ガスを供給するように、ガス供給システム140に命令する。第2のガスを供給することは、基板101上に窒化物層を堆積するために処理容積142内で窒化物プラズマを生成することに対応するプロセス方策の第2の動作に応答して、ヘリウム、アルゴン、及びシランのうちの1つ以上を供給することを含みうる。一例では、動作530及び540は同時に行われる。
基板上に堆積された層内の面内歪みは、処理容積の領域を選択するために1つ以上の不活性ガス及び/又は前駆体を適用することによって調整することができる。例えば、1つ以上の不活性ガス及び/又は前駆体は、ガスボックス114内に配置されたガス分配プレナム120に供給され、プレナム124の領域121において処理ガスと混合されて、各層の面内歪みをより引張又は圧縮にすることができ、各層の面内歪みを低減する。加えて、処理チャンバの処理容積の領域を選択するために1つ以上の不活性ガス及び前駆体を適用することにより、基板上に堆積された層内の厚さの不均一性を調整することができる。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく、本開示の他の実施形態及びさらなる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 処理チャンバ用のリッドアセンブリにおいて、
    ガスボックスであって、
    第1のガス供給システムに結合されたガス分配プレナム;及び
    前記ガス分配プレナムと位置合わせされた複数の孔を含む分配プレート
    を含む、ガスボックス;
    前記ガスボックスを貫通し、第2のガス供給システムに結合されたガス導管;及び
    前記ガスボックスに結合されたブロッカプレートであって、前記ブロッカプレートと前記ガスボックスとの間に第1のプレナムが形成される、ブロッカプレート
    を含む、リッドアセンブリ。
  2. 前記ブロッカプレートに結合されたシャワーヘッドをさらに含み、前記シャワーヘッドと前記ブロッカプレートとの間に第2のプレナムが形成される、請求項1に記載のリッドアセンブリ。
  3. 前記第1のガス供給システムが、前駆体ガス及び不活性ガスのうちの少なくとも1つを供給するように構成される、請求項1に記載のリッドアセンブリ。
  4. 前記前駆体ガスが、シラン及びオルトケイ酸テトラエチルのうちの少なくとも1つを含み、前記不活性ガスが、アルゴン及びヘリウムのうちの少なくとも1つを含む、請求項3に記載のリッドアセンブリ。
  5. 前記第1のガス供給システムが、前記前駆体ガス及び前記不活性ガスのうちの少なくとも1つの流量を選択するように構成される、請求項3に記載のリッドアセンブリ。
  6. 前記ガスボックスが、前記ガス分配プレナムに流体連結された第2のガス分配プレナムをさらに含む、請求項1に記載のリッドアセンブリ。
  7. 前記ガス分配プレナムが、前記ガスボックスの中心から約70mmから約160mmである、請求項1に記載のリッドアセンブリ。
  8. 処理チャンバにおいて、
    リッドアセンブリであって、
    次を含むガスボックス:
    ガス分配プレナム;及び
    前記ガス分配プレナムと位置合わせされた複数の孔を含む分配プレート
    を含む、ガスボックス;
    前記ガスボックスを貫通するガス導管;
    前記ガスボックスに結合されたブロッカプレートであって、第1のプレナムが前記ブロッカプレートと前記ガスボックスとの間に形成される、ブロッカプレート;及び
    前記ブロッカプレートに結合されたシャワーヘッドであって、前記シャワーヘッドと前記ブロッカプレートとの間に第2のプレナムが形成される、シャワーヘッド;
    を含む、リッドアセンブリ、並びに
    前記ガス分配プレナムに結合された第1のガス供給システム;
    前記ガス導管に結合された第2のガス供給システム;
    前記シャワーヘッドに結合されたチャンバ本体;及び
    前記チャンバ本体の内部容積内に配置された基板支持アセンブリであって、処理中に基板を支持するように構成される、基板支持アセンブリ
    を含む、処理チャンバ。
  9. 前記第1のガス供給システムが、前駆体ガス及び不活性ガスのうちの少なくとも1つを供給するように構成される、請求項8に記載の処理チャンバ。
  10. 前記前駆体ガスがシラン及びオルトケイ酸テトラエチルのうちの少なくとも1つを含み、前記不活性ガスがアルゴン及びヘリウムのうちの少なくとも1つを含む、請求項9に記載の処理チャンバ。
  11. 前記第1のガス供給システムが、前記前駆体ガス及び前記不活性ガスを供給するように構成される、請求項9に記載の処理チャンバ。
  12. 前記第1のガス供給システムが、前記前駆体ガス及び前記不活性ガスのうちの少なくとも1つの流量を選択するように構成される、請求項9に記載の処理チャンバ。
  13. 前記第1のガス供給システムが、プロセス方策に基づいて、前記前駆体ガス及び前記不活性ガスのうちの少なくとも1つ、並びに前記前駆体ガス及び前記不活性ガスのうちの少なくとも1つの流量を供給するように構成される、請求項9に記載の処理チャンバ。
  14. 前記ガス分配プレナムが、前記ガスボックス及び前記基板支持アセンブリのうちの少なくとも一方の中心から約70mmから約160mmである、請求項8に記載の処理チャンバ。
  15. 基板を処理する方法であって、
    第1のガス供給システムによって、第1のガスをガスボックスのガス分配プレナムに導入することであって、前記第1のガスが前記ガス分配プレナムからガス分配プレートを通って、ブロッカプレートと前記ガスボックスとの間に形成された第1のプレナムに流れる、第1のガスを導入すること;及び
    第2のガス供給システムによって、第2のガスを前記第1のプレナムに導入することであって、前記第2のガスが前記ガスボックスを貫通するガス導管を通って流れ、前記第1のガスが前記第1のプレナムの少なくとも一部において前記第2のガスと混合する、第2のガスを導入すること
    を含む、方法。
JP2021567825A 2019-05-15 2020-04-09 処理システム用の動的マルチゾーン流量制御 Active JP7547382B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962848306P 2019-05-15 2019-05-15
US62/848,306 2019-05-15
PCT/US2020/027523 WO2020231557A1 (en) 2019-05-15 2020-04-09 Dynamic multi zone flow control for a processing system

Publications (2)

Publication Number Publication Date
JP2022532590A true JP2022532590A (ja) 2022-07-15
JP7547382B2 JP7547382B2 (ja) 2024-09-09

Family

ID=73228148

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021567825A Active JP7547382B2 (ja) 2019-05-15 2020-04-09 処理システム用の動的マルチゾーン流量制御

Country Status (7)

Country Link
US (2) US11798803B2 (ja)
JP (1) JP7547382B2 (ja)
KR (1) KR20220024017A (ja)
CN (1) CN113924386A (ja)
SG (1) SG11202112203VA (ja)
TW (1) TW202108812A (ja)
WO (1) WO2020231557A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020123076A1 (de) * 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen
KR20220164161A (ko) * 2021-06-04 2022-12-13 주성엔지니어링(주) 박막 증착 방법
US20230130162A1 (en) * 2021-10-25 2023-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for plasma enhanced atomic layer deposition with protective grid

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7371436B2 (en) * 2003-08-21 2008-05-13 Tokyo Electron Limited Method and apparatus for depositing materials with tunable optical properties and etching characteristics
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) * 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
JP2007335755A (ja) 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
JP2011500961A (ja) * 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9818606B2 (en) 2013-05-31 2017-11-14 Applied Materials, Inc. Amorphous silicon thickness uniformity improved by process diluted with hydrogen and argon gas mixture
US10233543B2 (en) * 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
JP6450469B2 (ja) 2015-11-10 2019-01-09 東京エレクトロン株式会社 気化器、成膜装置及び温度制御方法
WO2017200696A1 (en) * 2016-05-20 2017-11-23 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system

Also Published As

Publication number Publication date
US20230420245A1 (en) 2023-12-28
WO2020231557A1 (en) 2020-11-19
US11798803B2 (en) 2023-10-24
CN113924386A (zh) 2022-01-11
TW202108812A (zh) 2021-03-01
US20200365386A1 (en) 2020-11-19
SG11202112203VA (en) 2021-12-30
KR20220024017A (ko) 2022-03-03
JP7547382B2 (ja) 2024-09-09

Similar Documents

Publication Publication Date Title
JP2022532590A (ja) 処理システム用の動的マルチゾーン流量制御
TWI759879B (zh) 半導體處理裝置及沉積方法
JP6509095B2 (ja) 窒化膜の形成方法
JP5195174B2 (ja) 成膜装置及び成膜方法
WO2009119500A1 (ja) ガス供給装置、処理装置、処理方法、及び記憶媒体
US20080176412A1 (en) Atomic layer deposition system including a plurality of exhaust tubes
US20040247787A1 (en) Effluent pressure control for use in a processing system
US20150101755A1 (en) Substrate processing apparatus
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
KR20170007177A (ko) 막 균일성 및 두께 프로파일 조절을 위한 멀티-사이클 ald 프로세스
CN106319481A (zh) 基板处理方法以及基板处理装置
JP2010114392A (ja) ガスインジェクター及び成膜装置
JP6756689B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
CN104947080A (zh) 使用气体喷嘴的成膜装置
KR20210048408A (ko) 반도체 증착 반응기 매니폴드
KR20170103663A (ko) 기화 원료 공급 장치 및 이것을 사용한 기판 처리 장치
US10472719B2 (en) Nozzle and substrate processing apparatus using same
TW201736634A (zh) 混合氣體複數系統供給體系及利用該體系的基板處理裝置
US20210214846A1 (en) Showerhead assembly and components
CN107686985A (zh) 气体供给装置和气体供给方法
JP2015173226A (ja) 真空成膜装置及びこの装置を用いた成膜方法
CN112239857A (zh) 薄膜制备设备
KR20200018277A (ko) 가스 처리 장치 및 가스 처리 방법
CN103215568B (zh) 气体供给头和基板处理装置
JP2013225684A (ja) ガス供給装置、処理装置及び処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240711

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240730

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240828

R150 Certificate of patent or registration of utility model

Ref document number: 7547382

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150