JP2022521373A - リフレクタ製造方法及び関連するリフレクタ - Google Patents

リフレクタ製造方法及び関連するリフレクタ Download PDF

Info

Publication number
JP2022521373A
JP2022521373A JP2021546209A JP2021546209A JP2022521373A JP 2022521373 A JP2022521373 A JP 2022521373A JP 2021546209 A JP2021546209 A JP 2021546209A JP 2021546209 A JP2021546209 A JP 2021546209A JP 2022521373 A JP2022521373 A JP 2022521373A
Authority
JP
Japan
Prior art keywords
substrate
reflector
radiation
joining
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021546209A
Other languages
English (en)
Other versions
JP7330279B2 (ja
Inventor
ルーボル,サンダー,バス
デル ポスト,シートセ,テイメン ヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022521373A publication Critical patent/JP2022521373A/ja
Application granted granted Critical
Publication of JP7330279B2 publication Critical patent/JP7330279B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/48Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor using adhesives, i.e. using supplementary joining material; solvent bonding
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29DPRODUCING PARTICULAR ARTICLES FROM PLASTICS OR FROM SUBSTANCES IN A PLASTIC STATE
    • B29D11/00Producing optical elements, e.g. lenses or prisms
    • B29D11/0074Production of other optical elements not provided for in B29D11/00009- B29D11/0073
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C65/00Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor
    • B29C65/02Joining or sealing of preformed parts, e.g. welding of plastics materials; Apparatus therefor by heating, with or without pressure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/18Handling of layers or the laminate
    • B32B38/1866Handling of layers or the laminate conforming the layers or laminate to a convex or concave profile
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/02Surface shaping of articles, e.g. embossing; Apparatus therefor by mechanical means, e.g. pressing
    • B29C2059/027Grinding; Polishing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B2038/0052Other operations not otherwise provided for
    • B32B2038/0064Smoothing, polishing, making a glossy surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment
    • B32B2457/14Semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Ophthalmology & Optometry (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

リフレクタを製造する方法を開示する。本方法は、複数の略平坦な基板のうち、最上層の略平坦な基板の少なくとも最上面を研磨することと、各略平坦な基板を所望の形状に変形させることと、変形された基板をともに接合して、リフレクタを形成することと、を含む。一実施形態によると、変形させること及び接合することは、金型を使用してともに実行される。【選択図】 図5

Description

関連出願の相互参照
[0001] 本願は、2019年2月26日出願の欧州特許出願第19159257.5号の優先権を主張し、その内容全体は援用により本明細書に組み込まれる。
[0002] 本発明は、計測装置用(但しこれに限定されない)の光学システム及び関連する方法に関連する。
[0003] リソグラフィ装置は、基板に所望のパターンを適用するように構築された機械である。リソグラフィ装置は、例えば集積回路(IC)の製造において使用可能である。リソグラフィ装置は、例えばパターニングデバイス(例えばマスク)のパターン(「設計レイアウト」又は「設計」と称されることも多い)を、基板(例えばウェーハ)上に提供された放射感応性材料(レジスト)層に投影し得る。
[0004] 基板上にパターンを投影するために、リソグラフィ装置は電磁放射を使用することができる。この放射の波長は、その基板上に形成可能なフィーチャの最小サイズを決定する。現在使用されている典型的な波長は、365nm(i線)、248nm、193nm及び13.5nmである。例えば193nmの波長を有する放射線を使用するリソグラフィ装置よりも小さなフィーチャを基板上に形成するためには、4nm~20nmの範囲内、例えば6.7nm又は13.5nmの波長を有する極端紫外線(EUV)放射を使用するリソグラフィ装置が用いられ得る。
[0005] 従来のリソグラフィ装置の解像度限界よりも小さな寸法でフィーチャを処理するために、低kリソグラフィを使用してもよい。このようなプロセスにおいて、解像度の計算式は、CD=k×λ/NAで表されてもよく、ここでλは、採用される放射の波長であり、NAはリソグラフィ装置の投射光学システムの開口数であり、CDは「クリティカルディメンション(critical dimension)」(通常、印刷される最小のフィーチャサイズであるが、この場合にはハーフピッチ)であり、kは経験的解像度係数である。一般に、kが小さいほど、特定の電気的機能及び性能を達成するために回路設計者が計画した形状及び寸法に近くなるように基板上にパターンを再現することはより難しくなる。これらの困難を克服するために、リソグラフィ投影装置及び/又は設計レイアウトには、洗練された微調整ステップが適用されてもよい。これらには、例えば、NAの最適化、カスタマイズされた照明スキーム、位相パターニングデバイスの使用、設計レイアウトにおける光近接効果補正(optical proximity correction:OPC、「光学及びプロセス補正」と称されることもある)等の種々の設計レイアウトの設計、又は一般的に「解像度向上技術」(RET:resolution enhancement techniques)と規定される方法が含まれるが、これに限定されない。或いは、リソグラフィ装置の安定性を制御するための厳密な制御ループを使用して、低kにおけるパターンの再現を改善してもよい。
[0006] 計測装置又は検査装置を使用して、リソグラフィ装置によって基板上に製造されたパターンの特性を決定してもよい。今日では、多くの形態の光学計測技術が知られており、製造されたパターンにおけるクリティカルディメンションが縮小するのにあわせて、これらの光学計測技術の解像度に不足が生じ得る。このような計測装置において1つのオプションとして、例えば軟X線又は極端紫外線(EUV)スペクトル範囲の、比較的低い波長を有する放射を使用する。この比較的低い波長は、0.1nm~100nmの範囲内であってもよく、1nm~50nmの範囲内であってもよく、又は10nm~20nmの範囲内であってもよい。高次高調波発生(HHG:High Harmonic Generation)の原理を使用して、このような波長の放射を生成してもよく、ショートパルスの赤外(IR)放射をHHG媒体(例えば、特定のガス)に集束させて、このHHG媒体が、受け取ったIR放射の一部を軟X線又はEUV放射に変換する。HHGによって生成された放射には、比較的広域スペクトルで異なる波長に多数のピークを含んでもよい。
[0007] 計測装置において、放射ビームは、照明サブシステムによって基板上の関心領域に誘導される。関心領域には、例えばターゲットが設けられる。好ましくは、放射ビームは関心領域又はターゲットに集束される。このような照明サブシステムは、反射光学コンポーネント、すなわち例えばかすめ入射等、放射ビームを誘導するリフレクタ又はミラーを備えてもよい。このようなミラーは、軟X線及び/又はEUVスペクトル範囲の広域放射をセンサ上に誘導することができてもよく、場合によっては、このような広域放射を集束させることができてもよい。
[0008]このようなミラーを製造する現在の方法を改善することが望ましいであろう。
[0009] 一態様又は実施形態によると、リフレクタを製造する方法であって、複数の略平坦な基板のうち、最上層の略平坦な基板の少なくとも最上面を研磨することと、略平坦な基板を各々、所望の形状に変形させることと、変形された基板をともに接合して、リフレクタを形成することと、を含む方法を提供する。
[00010] また、そのような方法によって製造されたリフレクタ、そのようなリフレクタを備える照明サブシステム、計測装置、及びリソグラフィ装置も開示する。
[00011] 本明細書に記載の任意の態様又は実施形態の少なくとも1つの特徴は、本明細書に記載の任意の態様又は実施形態の任意の対応する特徴と置き換えられてもよい。本明細書に記載の任意の態様又は実施形態の少なくとも1つの特徴は、本明細書に記載の他の任意の態様又は実施形態と組み合わせられてもよい。
[00012] 本発明の実施形態を、添付の図面を参照して、単なる例示として以下に説明する。
リソグラフィ装置の概略全体図を示す。 リソグラフィセルの概略全体図を示す。 ホリスティックリソグラフィの概略図であり、半導体製造を最適化するための3つのキーとなる技術間の連携(cooperation)を示す。 軟X線又はEUVのスペクトル範囲の放射を使用する計測装置の概略図を示す。 本発明の一実施形態に係る、リフレクタを製造する提案された方法のフロー図を示す。
[00013] 本文献では、「放射」及び「ビーム」という用語は、特に明記しない限り、紫外線(例えば、波長が365nm、248nm、193nm、157nm又は126nmの波長)及びEUV(極端紫外線放射、例えば、約5~100nmの範囲の波長を有する)を含む、すべてのタイプの電磁放射を包含するために使用される。
[00014] 「レチクル」、「マスク」、又は「パターニングデバイス」という用語は、本文で用いる場合、基板のターゲット部分に生成されるパターンに対応して、入来する放射ビームにパターン付き断面を与えるため使用できる汎用パターニングデバイスを指すものとして広義に解釈され得る。また、この文脈において「ライトバルブ」という用語も使用できる。古典的なマスク(透過型又は反射型マスク、バイナリマスク、位相シフトマスク、ハイブリッドマスク等)以外に、他のそのようなパターニングデバイスの例は、プログラマブルミラーアレイ及びプログラマブルLCDアレイを含む。
[00015] 図1は、リソグラフィ装置LAを概略的に示す。リソグラフィ装置LAは、放射ビームB(例えばUV放射、DUV放射、又はEUV放射)を調節するように構成された照明システム(イルミネータとも呼ばれる)ILと、パターニングデバイス(例えばマスク)MAを支持するように構築され、特定のパラメータに従ってパターニングデバイスMAを正確に位置決めするように構成された第1のポジショナPMに連結されたマスクサポート(例えばマスクテーブル)MTと、基板(例えばレジストコートウェーハ)Wを保持するように構成され、特定のパラメータに従って基板を正確に位置決めするように構築された第2のポジショナPWに連結された基板サポート(例えばウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、屈折投影レンズシステム)PSと、を含む。
[00016] 動作中、照明システムILは、例えばビームデリバリシステムBDを介して放射源SOから放射ビームを受ける。照明システムILは、放射を誘導し、整形し、及び/又は制御するための、屈折型、反射型、磁気型、電磁型、静電型、及び/又はその他のタイプの光学コンポーネント、又はそれらの任意の組み合わせ等の様々なタイプの光学コンポーネントを含むことができる。イルミネータILを使用して放射ビームBを調節し、パターニングデバイスMAの平面において、その断面にわたって所望の空間及び角度強度分布が得られるようにしてもよい。
[00017] 本明細書で用いられる「投影システム」PSという用語は、使用する露光放射、及び/又は液浸液の使用や真空の使用のような他のファクタに合わせて適宜、屈折光学システム、反射光学システム、反射屈折光学システム、アナモルフィック光学システム、磁気光学システム、電磁気光学システム、及び/又は静電気光学システム、又はそれらの任意の組み合わせを含む様々なタイプの投影システムを包含するものとして広義に解釈するべきである。本明細書で「投影レンズ」という用語が使用される場合、これは更に一般的な「投影システム」PSという用語と同義と見なすことができる。
[00018] リソグラフィ装置LAは、投影システムPSと基板Wとの間の空間を充填するように、基板の少なくとも一部を例えば水のような比較的高い屈折率を有する液体で覆うことができるタイプでもよい。これは液浸リソグラフィとも呼ばれる。液浸技法に関する更なる情報は、援用により本明細書に組み込まれる米国特許第6952253号に与えられている。
[00019] リソグラフィ装置LAは、2つ以上の基板サポートWTを有するタイプである場合もある(「デュアルステージ」とも呼ばれる)。こうした「マルチステージ」機械において、基板サポートWTを並行して使用するか、及び/又は、一方の基板サポートWT上の基板Wにパターンを露光するためこの基板を用いている間に、他方の基板サポートWT上に配置された基板Wに対して基板Wの以降の露光の準備ステップを実行することができる。
[00020] 基板サポートWTに加えて、リソグラフィ装置LAは測定ステージを含むことができる。測定ステージは、センサ及び/又はクリーニングデバイスを保持するように配置されている。センサは、投影システムPSの特性又は放射ビームBの特性を測定するよう配置できる。測定ステージは複数のセンサを保持することができる。クリーニングデバイスは、例えば投影システムPSの一部又は液浸液を提供するシステムの一部のような、リソグラフィ装置の一部をクリーニングするよう配置できる。基板サポートWTが投影システムPSから離れている場合、測定ステージは投影システムPSの下方で移動することができる。
[00021] 動作中、放射ビームBは、マスクサポートMT上に保持されている、例えばマスクのようなパターニングデバイスMAに入射し、パターニングデバイスMA上に存在するパターン(設計レイアウト)によってパターンが付与される。マスクMAを横断した放射ビームBは投影システムPSを通過し、投影システムPSはビームを基板Wのターゲット部分Cに集束させる。第2のポジショナPW及び位置測定システムIFを用いて、例えば、放射ビームBの経路内の集束し位置合わせした位置に様々なターゲット部分Cを位置決めするように、基板サポートWTを正確に移動させることができる。同様に、第1のポジショナPMと、場合によっては別の位置センサ(図1には明示的に図示されていない)を用いて、放射ビームBの経路に対してパターニングデバイスMAを正確に位置決めすることができる。パターニングデバイスMA及び基板Wは、マスクアライメントマークM’1、M’2及び基板アライメントマークP’1、P’2を用いて位置合わせすることができる。図示されている基板アライメントマークP’1、P’2は専用のターゲット部分を占有するが、それらをターゲット部分間の空間に位置付けることも可能である。基板アライメントマークP’1、P’2は、これらがターゲット部分C間に位置付けられている場合、スクライブラインアライメントマークとして知られている。
[00022] 図2に示されるように、リソグラフィ装置LAは、リソセル又は(リソ)クラスタと称されることもあるリソグラフィセルLCの一部を形成してもよく、またこれはしばしば基板W上に事前露光及び事後露光を実行する装置も備える。従来、これらには、レジスト層を蒸着するスピンコータSCと、露光されたレジストを現像する現像機DEと、例えば、レジスト層中の溶媒を調整するために、例えば、基板Wの温度を調整するための、冷却プレートCH及びベークプレートBKとを備える。基板ハンドラ、すなわちロボットROは、入力/出力ポートI/O1、I/O2から基板Wをピックアップし、異なるプロセス装置間でこれらを移動し、基板Wをリソグラフィ装置LAのローディングベイLBに送達する。リソセル内のデバイスは、総称してトラックとも称されるが、通常、それ自体が監視制御システムSCSによって制御されてもよく、例えば、リソグラフィ制御ユニットLACUを介してリソグラフィ装置LAも制御し得るトラック制御ユニットTCUの制御下にある。
[00023] リソグラフィ装置LAによって露光される基板Wが正確且つ均一に露光されるように、次の層との間のオーバーレイエラー、ライン幅、クリティカルディメンション(CD)等、パターニングされる構造の特性を測定するために基板を検査することが望ましい。この目的のために、検査ツール(図示せず)はリソセルLCに含まれてもよい。エラーが検出されると、特に、同一バッチの他の基板Wの前に検査が行われ、ロットがまだ露光中又は処理中である場合、次の基板の露光、又は、基板Wに実行される他の処理ステップに調整が加えられてもよい。
[00024] 計測装置又は計測ツールMTと称されることもある検査装置は、基板Wの特性を判定し、特に、異なる基板Wの特性がどのように変動するのか、又は、同一基板Wの異なる層に関連付けられた特性が層間でどのように変動するのか、を判定するために使用される。或いは、検査装置は、基板Wに欠陥を特定するように構築されてもよく、例えば、リソセルLCの一部であってもよく、又はリソグラフィ装置LAに集積されてもよく、又はスタンドアロンデバイスであってもよい。検査装置は、潜像(露出後のレジスト層における画像)、又は半潜像(露光後ベークステップPEB後のレジスト層における画像)、又は現像されたレジスト画像(レジストの露出部又は未露出部が除去されたもの)、又はエッチング済み画像(エッチング等のパターン転写ステップ後)において、その特性を測定してもよい。
[00025] 通常、リソグラフィ装置LAにおけるパターニングプロセスは、高精度の寸法決め及び基板W上の構造の配置を必要とする処理において、最も決定的なステップのうちの1つである。この高精度を確実にするため、図3に概略を示すように、3つのシステムがいわゆる「ホリスティック」制御環境において組み合わせられてもよい。これらのシステムのうちの1つは、計測ツールMT(第2のシステム)及びコンピュータシステムCL(第3のシステム)に(仮想的に)接続されるリソグラフィ装置LAである。このような「ホリスティック」環境の鍵は、3つのシステム間の連携を最適化して、全体的なプロセスウィンドウを強化し、リソグラフィ装置LAによって実行されるパターニングがプロセスウィンドウ内に確実にとどまるように厳密な制御ループを提供することである。プロセスウィンドウは、特定の製造プロセスが規定された結果(例えば、機能的半導体デバイス)を生成するプロセスパラメータ(例えば、線量、焦点、オーバーレイ)の範囲を規定する。通常は、リソグラフィプロセス又はパターニングプロセスにおけるプロセスパラメータが変動してもよい範囲を規定する。計測ツールMTは、複数の目的のために使用可能な情報を提供してもよい。計測ツールMTによって提供される情報は、製造プロセスにおいて計測測定が実行される段階に応じて決まる。例えば、リソグラフィ、エッチング、又は化学機械研磨(CMP)ステップの一部として、製造プロセスにおいて使用される計測ツールMTと他のツールとの間でフィードバックループを作成することができる。本発明の態様又は実施形態によって提供される情報は、フィードバックループの一部として計測ツールMTにより使用されてもよく、又は製造プロセスにおいて使用される他の任意のツールにより使用されてもよい。
[00026] コンピュータシステムCLは、どの解像度向上技術が使用されるべきかを予測するため、且つ、どのマスクレイアウト及びリソグラフィ装置設定によってパターニングプロセスの最大の全体的なプロセスウィンドウを達成するか(図3中、第1のスケールSC1において両方向矢印で示されている)を判定するため、演算リソグラフィシミュレーション及び計算を実行するためにパターニングされる設計レイアウト(の一部)を使用してもよい。通常、解像度向上技術は、リソグラフィ装置LAのパターニングの可能性に合致するように配置される。コンピュータシステムCLは、例えば、準最適な処理(図3中、第2のスケールSC2において「0」を指す矢印で示されている)のため欠陥が存在し得るかを予測するために、プロセスウィンドウ中のいずれの箇所において、リソグラフィ装置LAが(計測ツールMTからの入力を使用して)現在動作中であるかを検出するのにも使用されてよい。
[00027] 計測ツールMTは、正確なシミュレーション及び予測ができるようにするため、コンピュータシステムCLに入力を行なってもよく、例えば、リソグラフィ装置LAの校正状況において(図3中、第3のスケールSC3において複数の矢印で示す)起こり得るドリフトを特定するために、リソグラフィ装置LAにフィードバックを行なってもよい。
[00028] リソグラフィプロセスでは、例えば、プロセス制御や検証のために、作成された構造物を頻繁に測定することが望ましい。このような測定を行うツールは、通常、計測ツールMTと呼ばれる。走査電子顕微鏡又は様々な形態のスキャトロメータ計測ツールMTを含む、このような測定を行うための異なるタイプの計測ツールMTが既知である。スキャトロメータは、スキャトロメータの対物レンズの瞳、又は、その瞳との共役平面にセンサを有することによるリソグラフィプロセスのパラメータの測定、一般的に瞳ベース測定と称される測定、すなわち画像平面又は画像平面と共役な平面にセンサを有することによる測定であり、この場合、通常、画像又はフィールドベース測定と称される測定を可能にする汎用の器具である。このようなスキャトロメータ及び関連の測定技術については、米国特許出願公開第20100328655号、米国特許出願公開第2011102753A1号、米国特許出願公開第20120044470A号、米国特許出願公開第20110249244号、米国特許出願公開第20110026032号、又は欧州特許出願公開第1,628,164A号にさらに説明されており、これら全体は援用により本明細書に組み込まれる。前述のスキャトロメータは、軟X線及び可視から近赤外波長の範囲の光を使用して格子を測定してもよい。
[00029] 第1の実施形態において、スキャトロメータMTは角度分解スキャトロメータである。このようなスキャトロメータにおいて、格子の特性を再構築又は計算するため、測定信号には再構築方法(reconstruction method)が適用されてもよい。このような再構築は、例えば、ターゲット構造の数学モデルにより、散乱放射の相互作用をシミュレーションし、シミュレーション結果を測定結果と比較した結果として生じてもよい。数学モデルのパラメータは、シミュレーションされた相互作用が実際のターゲットから観察されるものと同様の回折パターンを生じるまで調整される。
[00030] 第2の実施形態において、スキャトロメータMTは分光スキャトロメータMTである。このような分光スキャトロメータMTにおいて、放射源から放出される放射はターゲット上に誘導され、ターゲットから反射又は散乱した放射は分光計検出器に誘導され、これが、正反射放射のスペクトルを測定する(すなわち、波長の関数としての強度の測定)。このデータから、検出されたスペクトルを生じるターゲットの構造又はプロファイルは、例えば、厳密な結合波解析及び非線形回帰によって、又はシミュレーションされたスペクトルのライブラリとの比較によって、再構築されてもよい。
[00031] 第3の実施形態において、スキャトロメータMTはエリプソメトリスキャトロメータである。エリプソメトリスキャトロメータは、各偏光状態に対する散乱放射を測定することにより、リソグラフィプロセスのパラメータを判定することができる。このような計測装置は、例えば、計測装置の照明セクションで適切な偏光フィルタを使用することにより、偏光された光(線形、円形、又は楕円形等)を放出する。計測装置に適した光源は、偏光放射も提供してもよい。金属のエリプソメトリスキャトロメータの様々な実施形態は、米国特許出願公開第11/451,599号、第11/708,678号、第12/256,780号、第12/486,449号、第12/920,968号、第12/922,587号、第13/000,229号、第13/033,135号、第13/533,110号、及び第13/891,410号に説明されており、これら全体は援用により本明細書に組み込まれる。
[00032] スキャトロメータMTの一実施形態において、スキャトロメータMTは、反射されたスペクトル及び/又は検出構成による非対称を測定することにより、2つの位置がずれた格子又は周期構造のオーバーレイを測定するように適合され、この非対称は、オーバーレイの程度に関連する。2つの(通常、重なり合った)格子構造は、2つの異なる層(必ずしも、連続した層である必要はない)に適用されてもよく、ウェーハ上の略同一の位置に形成されてもよい。スキャトロメータは、いずれの非対称も明確に区別可能となるように、例えば、共同所有の欧州特許出願公開第1,628,164A号に記載の対称検出構成を有してもよい。これにより、格子のミスアライメントを簡単に測定することができる。ターゲットが周期構造の非対称によって測定される際、周期構造を含む2つの層間のオーバーレイエラーを測定するさらに他の例は、国際公開第2011/012624号又は米国特許出願公開第20160161863号に見出されており、これら全体は援用により本明細書に組み込まれる。
[00033] 関心対象の他のパラメータは、焦点及び線量であり得る。焦点及び線量は、全体が援用により本明細書に組み込まれる米国特許出願公開第2011-0249244号に記載のスキャトロメトリによって(又は、代わりに走査電子顕微鏡によって)同時に判定されてもよい。焦点エネルギーマトリクス(焦点露光マトリクス(FEM)とも称される)における各地点で、クリティカルディメンション及び側壁角度の測定値に独自の組み合わせを有する単一の構造が使用されてもよい。クリティカルディメンション及び側壁角度の独自の組み合わせが利用できる場合、焦点及び線量の値は、これらの測定値から独自に判定されてもよい。
[00034] 計測ターゲットは、リソグラフィプロセスにより形成された複合格子の組み合わせであり、多くの場合にはレジスト中であるが、例えばエッチングプロセスの後であってもよい。これらの格子は、測定光学システムによって得られた放射を回折する。測定光学システムの設計は、スキャトロメータによって使用される波長及び光学システムのNAにより、計測ターゲットから回折次数を得ることができ、格子のピッチ及びライン幅等のパラメータが判定できるようなものであってもよい。前述の通り、回折された信号を使用して、2つの層間(「オーバーレイ」とも呼ばれる)のずれを判定してもよく、又はこれを使用して、リソグラフィプロセスによって生成されるオリジナルの格子の少なくとも一部を再構築してもよい。この再構築を使用して、リソグラフィプロセスの品質のガイダンスを提供してもよく、またこれを使用して、リソグラフィプロセスの少なくとも一部を制御してもよい。ターゲットは、ターゲットの設計レイアウトの機能部分の寸法を模倣するように構成された、より小さなサブセグメンテーションを有してもよい。このサブセグメンテーションにより、ターゲットは、設計レイアウトの機能部分とより類似した挙動を行い、全体のプロセスパラメータ測定値が、設計レイアウトの機能部分により類似するようになる。ターゲットは、充填不足モード(underfilled mode)又は過剰充填モード(overfilled mode)で測定されてもよい。充填不足モードでは、測定ビームはターゲット全体より小さなスポットを生成する。過剰充填モードでは、測定ビームはターゲット全体より大きなスポットを生成する。このような過剰充填モードでは、異なるターゲットを同時に測定し、異なる処理パラメータを同時に判定してもよい。
[00035] 特定のターゲットを使用したリソグラフィパラメータの全体的な測定品質が、このリソグラフィパラメータを測定するために使用される測定レシピにより、少なくとも部分的に判定される。「基板測定レシピ(substrate measurement recipe)」という用語は、測定自体の1つ以上のパラメータ、測定された1つ以上のパターンの1つ以上のパラメータ、又はこの両方を含んでもよい。例えば、基板測定レシピで使用される測定が回折ベースの光学測定である場合、測定のパラメータのうちの1つ以上には、放射の波長、放射の偏光、基板に対する放射の入射角度、基板上のパターンに対する放射の向き等が含まれてもよい。測定レシピを選択するための指標のうちの1つは、例えば、処理バリエーションに対する測定パラメータのうちの1つの感度であってもよい。その他の例が米国特許出願公開第2016-0161863号及び未公開米国特許出願第15/181,126号に説明されており、その全体は援用により本明細書に組み込まれる。
[00036] 光学計測方法の代替として、例えば、0.1nm~100nm、又は任意で1nm~50nm、又は任意で10nm~20nmの波長範囲内の放射等、軟X線又はEUV放射を使用することも考慮されてきた。上述の波長範囲のうちの1つで機能する計測ツールの一例には、透過性小角度X線散乱(米国特許出願公開第2007224518A号におけるT-SAXSがあり、その内容全体は援用により本明細書に組み込まれる)が挙げられる。T-SAXSを使用するプロファイル(CD)測定については、SPIE、2013、8681の論文「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」において、Lemailletらにより検討されている。フィルムの特性及び基板上の層の積層を測定するために、かすめ入射におけるX線(GI-XRS)及び極端紫外線(EUV)放射を使用したリフレクトメトリが既知である。リフレクトメトリの一般的な分野では、ゴニオメトリ及び/又は分光の技術を適用することができる。ゴニオメトリでは、異なる入射角の反射ビームの変動を測定する。一方、分光リフレクトメトリでは、所与の角度で反射された波長のスペクトルを測定する(広域放射)。例えば、EUVリフレクトメトリは、EUVリソグラフィの使用のため、レチクル(パターニングデバイス)の製造に先立ち、マスクブランクの検査に使用されてきた。
[00037] この適用の範囲では、軟X線又はEUVドメインにおける波長の使用が十分でなくなる。従って、米国特許出願公開第20130304424A1号及び米国特許出願公開第2014019097A1号(Bakeman等/KLA)には、x線を使用して行った測定と、120nm~2000nmの間の波長での光学測定とを組み合わせてCD等のパラメータの測定値を得るハイブリッド計測技術が記載されている。CD測定値は、1つ以上の共通項を通じて、x線数学モデルと光学数学モデルを結合することで取得される。
[00038] 図4は、例えば、ウェーハW上のターゲットTの特性等、基板の特性を判定するために軟X線又はEUV放射を使用し得る計測装置200を示す。計測装置200は、任意の赤外(IR)レーザ202、HHG機構204、任意の光学IRブロッキング要素206、基準検出器714を備え得る照明サブシステム732、高次元検出器750、及び分光計700を備える。照明サブシステム732は、光学システムOSを備え、この例について、本明細書中にさらに詳細に説明する。
[00039] IRレーザ202は、高次高調波発生(HHG)機構204をシード設定する。IRレーザ202は、HHG媒体中のHHG機構204内に集束されるIR放射の短駆動パルスを生成する。HHG媒体は、ガスであってもよい。HHG媒体は、高次高調波発生の原理に従い、IR放射の一部を軟X線及び/又はEUV放射に変換する。SXR放射のコンパクトな放射源には、HHG源が含まれ、レーザからの赤外ポンプ放射が、ガス媒体との相互作用により、より短い波長の放射に変換される。HHG源は、例えば、米国コロラド州ボルダーのKMLラボから入手可能である(http://www.kmlabs.com/)。
[00040] 生成された軟X線及び/又はEUV放射は、照明サブシステム732に入射する。照明サブシステムへの入射に先立ち、任意のIRブロッキング要素206が、IR駆動ビームのかなりの部分をブロックしてもよい。照明サブシステム732は、基準測定信号SRを生成する基準検出器714を備える基準測定ブランチを備えてもよい。基準検出器714は、生成された軟X線及び/又はEUV放射における異なる波長の強度を測定する分光計の一部であってもよい。
[00041] 計測装置200は、例えば、ウェーハW等の特定の位置で基板を受け取り、保持するためのサブシステムを備えてもよい。一実施形態において、サブシステムはウェーハテーブルである。ウェーハWは、1つ以上の特性が判定されてもよいターゲットTを備えてもよい。照明サブシステム732は、使用中に、照明放射704をウェーハW上のターゲットTに向けるように配置され、照明サブシステム732は、ターゲットT上に照明放射704を集束させるように配置されてもよい。
[00042] ターゲットT、又はウェーハW上の任意の他の構造が、照明放射704を散乱又は回折させる。反射された放射708(すなわち、正反射された放射)は、分光計700によって受け取られる。分光計は、反射された放射708を異なる波長の反射スペクトル710にする格子712を備えてもよい。反射スペクトル710は、反射測定信号STを生成する検出器713によって得られる。ターゲットTからの高回折次数放射は、高次測定信号SFを生成する高次元検出器750に影響を及ぼす。
[00043] 装置200の一部又は全部が撤去されてもよく、撤去領域には、ウェーハWが含まれてもよい。
[00044] 計測装置200は、基準測定信号SR、高次測定信号SF、及び/又は反射測定信号STを受け取るプロセッサ(図示せず)及び/又はコントローラを備えてもよい。プロセッサ及び/又はコントローラは、ターゲットTの関心対象の特性の測定値を判定するために、このような信号を処理するように配置されてもよい。任意で、プロセッサ及び/又はコントローラは、IRレーザ202及び/又はHHG機構204を制御することにより、軟X線及び/又はEUV放射の生成も制御してよい。プロセッサ及び/又はコントローラは、ウェーハWを受け取り保持するサブシステムも制御してよい。
[00045] 以降、ターゲットTを含む関心対象領域に放射ビームを集束するのに適した光学システム及びさらなる光学システムについて検討する。検討する光学システムは、計測装置200の照明サブシステム732に使用されてもよい。これは、照明放射源及び基板を受け取り保持するための基板テーブルを備えた検査装置においても使用されてよいことに留意されたい。
[00046] 光学システムOS又はさらなる光学システムOSに対する潜在的な要件は、5つの項目にまとめることができる。光学システムOSは、軟X線又はEUVスペクトル範囲に放射を集束させてもよく、光学システムOSは、広域特性を有する放射を集束させてもよく(又は、比較的広いスペクトルで多数の波長ピークを有する、又は、狭域特性を有するが、例えば調整可能なモノクロメータを使用して中央波長の選択が可能である)、光学システムOSは、回折を焦点に限定する比較的大きな縮小を有してもよく、光学システムOSは、比較的小さな容量に収まり、計測装置が比較的小さなフットプリントを有するようにしてもよく、光学システムOSは、基準測定ブランチの基準格子を使用してもよい。本明細書でさらに説明するように、光学システムの縮小は、関心対象領域における見かけ上の光源寸法と対応するビームスポットの寸法との間の比率によって規定されてもよく、ここで光学システムは、関心対象の見かけ上の光源を撮像してビームスポットを形成する。
[00047] 一実施形態において、光源によって生成される放射ビームBを操作するため、1つ以上のリフレクタを使用する。放射は、リフレクタへの入射又はほぼ垂直な入射のかすめ角度に影響を及ぼすことがある。「リフレクタ」という用語は、リフレクタを含んでもよく、又はこれ自体を指すものであってもよいことが理解されるであろう。いくつかの実施形態において、少なくとも1つのリフレクタは、リフレクタとして機能し得る反射要素を備えてもよい。いくつかの実施形態において、少なくとも1つのリフレクタは、放射の反射、回折、及び散乱の少なくとも1つ以上の機能を備え得る回折要素を備えてもよい。従って、回折要素は、リフレクタとしても機能し、放射を回折してもよい。本明細書において、「リフレクタ」又は「ミラー」という用語を使用する場合、これは、「リフレクタ」という一般的用語を指すものと理解されてもよい。
[00048] EUV及びSXR範囲における放射は、このような波長のための屈折光学要素を使用することが非常に限られた用途にのみ適用可能であるため(例えば、フレネルゾーンプレート)、誘導や集束が困難である。従って、多くの用途では、EUV及び軟X線の誘導及び集束は、リフレクタを使用して実行されなければならない。このようなリフレクタは、例えば、かすめ入射(例えば、表面に対して約15度以下)リフレクタ、又は狭域多層コートのほぼ垂直な入射リフレクタ(しばしばBraggミラーと称される)を備えてもよい。EUV及び軟X線放射の波長は非常に短いため、表面が不完全であるために生じる表面散乱によるフレアを集束させるには、このような放射のリフレクタに対する形状誤差、傾斜誤差、表面粗さの要件が非常に厳密である。このようなリフレクタの表面品質は、通常、パワースペクトル密度(PSD)によるか、又は3つの異なる領域、すなわち、非常に低い空間周波数又は形状エラー(通常、ビームフットプリント又は明確な開口に近い空間周波数及び/又は<1mm-1)と、中間的な空間周波数又は傾斜誤差(通常、0.1mm-1~1mm-1)と、高い空間周波数又は粗さ(通常、>1mm-1)における二乗平均平方根(RMS)積算値を参照するよりコンパクトな手法のいずれかで特定される。このような領域の正確な定義は、しばしば用途に応じて決まる。
[00049] このように、放射を集束させるには、表面粗さが非常に低く(例えば、0.1nm RMS未満)、傾斜誤差が低く(例えば、1μrad RMS未満)、且つ形状誤差の低い(例えば、山谷間が1nm未満)高精度の光学リフレクタが必要である。さらに、EUV放射は容易に吸収され熱へと変換されるため、リフレクタの基板は、熱膨張の低い材料を備えなければならない。このようなリフレクタを製造する現在の方法には、基板上に硬度な研磨技術が含まれ、任意で、この後に1つ以上のコーティングが付与される。コーティングには、例えば、イリジウム、ルテニウム、金、又はモリブデン等の多層積層、又はその他任意の適切なコーティングが含まれてもよい。基板表面は、研磨により非常に平滑にされる。必要な平滑さを達成するために、高度な研磨技術又は超研磨技術が使用されてもよい。このような超研磨技術には、磁気粘弾性流体研磨(MRF:Magnetorheological Finishing)、イオンビーム成形(IBF:Ion Beam Figuring)、又は弾性発光加工(EEM:Elastic Emission Machining)が含まれてもよい。MRFでは、研磨のためにキャリア流体に懸濁した磁性粒子のスラリを使用し、これにより、流体のいくつかの特性が磁場を使用して制御できるようにする。IBFは、基板表面上にイオンを衝突させることに基づくもので、そのエネルギーの一部を表面上の原子に伝達させ、固体から吐出させる。このプロセスは、スパッタリングとしても既知である。EEMは、非接触加工プロセスを備え、微小な粉末粒子が水流によってミラー面に運ばれる。表面原子間の相互作用(原子のクラスタ、すなわち表面から突き出たピークが好ましい)により、結果として表面の原子を取り除き、原子スケールで表面を平滑化する。
[00050] MRF、IBF、又はEEMを採用したこのような製造技術は、EUV及びSXRの範囲の放射に対するリフレクタを製造するのに効果的であることが示されているが、困難で、費用がかかり、時間を要する。これは特に、研磨される面が平坦でない場合に顕著である。粗さを低減する研磨プロセスでも、材料除去率は非常に低い。これにより、例えば、中間又は低度の空間周波数において表面品質を改善するために、より大きな容量を除去するのに非常に時間がかかる。さらに、中間又は低度の空間周波数においてミラー面を改善するのは、決定論的なプロセスである。これは、反復プロセスにおいて、白色光干渉計のように表面計測ツールによって表面が測定され、結果として得られたデータが研磨機に送られ、所望の領域で材料を選択的に除去するようにすることを意味する。曲率がより大きい基板に対して表面計測を実行することは益々困難であり、従って、EUV又はSXR波長で要求される表面品質まで研磨できるミラーの最大曲率が大幅に制限される。従って、このようなリフレクタを製造するために改善された、より簡易な方法を次に説明する。
[00051] 提案された方法には、リフレクタの成形から研磨/平滑化プロセスを切り離すことで、必要な輪郭を得ることを含む。平坦面を非常に平滑になるまで研磨することが提案されているが、これは、既知の技術を使用して、リフレクタを成形し、リフレクタ表面の曲率を作ることで成すことができる。従って、曲率を作ること及び非常に平滑な表面を作ることは、独立したステップとなる。このような方法では、具体的に規定された輪郭を有する曲面を平滑化することに比較して、平坦な表面を平滑化する相対的簡易さを利用する。
[00052] この方法は、シリコン基板又はウェーハ等の平坦な基板のセットをともに接合することを含むことが提案されている。例えば、基板は、IC製造において露光、エッチング、及びダイシングの対象となる基板と本質的に同様であってもよい。一実施形態において、必要に応じて(例えば、求められる曲率の低度に応じて)個々の基板が最初に薄化され、求められる曲率をサポートすることができる。
[00053] 図5は、提案される製造プロセスを概念的に示す。ステップ500において、複数のシリコン基板が得られる。任意のステップ510において、シリコン基板はより薄くされ得る。このステップは、例えば、ウェーハの背面切削又はエッチングによって実行されてもよい。
[00054] ステップ520において、少なくとも1つの基板(すなわち、基板が接合されている場合には、主要な、最上層の、反射面)の上面を必要な程度まで研磨する。このステップは、最大、積層の頂上部にある基板の上面を研磨することを含んでもよく、一方で他方の表面は、選択された接合プロセスに必要な程度ほど研磨される必要がある(また、接合プロセスが接着剤によるものであれば、研磨は全く必要とされなくてもよい)。曲面を研磨するのは大変困難であるため、平坦である間に表面を研磨することは非常に有利である。粗さに加え、基板にわたる厚さの均一性も、研磨ステップ中に制御されなければならない。厚さの変動は、リフレクタ表面の形状の変動に繋がるため、各基板は、非常に均一な厚さを有していなければならない。
[00055] 上述の研磨又は超研磨の技術のいずれかを、少なくとも主要な最上層の表面に使用してもよい。他の接合面は、例えば、IC製造におけるウェーハ準備で既に使用されてきたウェーハ研磨ツール及び装置を使用して、それほど広範囲でない研磨技術を使用することができる。例えば、接合面には、化学機械研磨(CMP)を使用してもよい。
[00056] ステップ530及び540において、基板がともに成形、積層、及び接合される。特定の実施形態において、これには、個々に冷間接合、直接接合、又は溶着接合技術を使用して(すなわち、接着剤を使用しないで)、各基板を成形し、積層に接合することを含んでもよい。直接接合とは、任意の追加中間層を用いないウェーハ接合プロセスを表す。結合プロセスは、ともに設けられた材料(シリコン等)の多数の要件を満たす2つの面の間の化学的接合の形成に基づくものである。接合の表面要件には、そのウェーハ表面が、十分に清浄、平坦、且つ平滑であることが含まれる。このように、直接接合が使用される場合、上述の研磨ステップには、このような接合を促進するようにすべての基板を研磨することが含まれてもよい。直接接合には、室温で事前接合するステップ及び昇温してアニーリングを行うステップが含まれてもよい。
[00057] このように、方法は、金型内に第1の基板を配置することと、金型530によって成形されるように十分な力を付与することと、を含んでもよい。その後、次の基板を成形された第1の基板の上に配置し、これもまた金型によって成形され、第1の基板に接合されるようにする。その後、これがすべての基板に対して繰り返される。ここに示す金型は、正の金型である。すなわち、曲率の反転を招く負の金型ではなく、金型の形状が最終的なリフレクタの形状に複製される。いずれのタイプの金型も使用可能である。
[00058] 最後に、接合された基板が金型から離型される(ステップ550)。最終結果は、屈曲したシリコンミラーと同様であるが、その形状を維持するのに必要なアクティブサポートを伴わず、無限に多数のサポートポイントを伴う。その後、この金型は、他のリフレクタの製造に再利用可能である。一実施形態において、最終的なリフレクタは、以下の品質の一部、好ましくは全部を有してもよい。すなわち、表面粗さが非常に低いこと(例えば、0.1nm RMS未満)、傾斜誤差が低いこと(例えば、1μrad RMS未満)、また形状誤差が低いこと(例えば、山谷間が1nm未満)である。
[00059] 接合された基板は、金型から離型する際、何らかの形で変形し得ることも理解しなければならない。従って、金型の形状は、この変形のための事前補正を含んでいなければならない。これは、例えば、(例えば、有限要素モデリングパッケージ等を使用して)離型後に変形をモデル化することと、所望のリフレクタ形状に対して最適な補正された金型形状を計算することと、を含んでもよい。
[00060] 本明細書に記載の方法は、純粋に例示であり、異なる接合、研磨、及び/又は成形技術が使用されてもよいことが理解されなければならない。代替又は追加として、論理的であるか又は可能である場合、任意のプロセスステップの順が変更されてもよい。製造プロセスは、必ずしも線形プロセスである必要はなく、ステップ間に直接の依存関係がなければ、ステップは、並列及び/又は他の順で実行されてもよい。
[00061] 提案された方法、特に、リフレクタの製造に平坦なシリコンウェーハを使用することは、さらなる利点をもたらす。例えば、この提案は、ウェーハがまだ平坦なときに最上層に格子をパターニングして、分光計格子を作るというオプションを広げる。他の例において、パターニングされた格子には、例えば、フラットフィールド分光計へと成形するため等、変動線空間(VLS)格子が含まれてもよい。このような格子のパターニングは、図1に示されるようなリソグラフィ装置又はスキャナを使用するか、或いはリソグラフィでなく、ホログラフィ技術を使用するか、或いは、光学又はeビームベースの直接書込リソグラフィプロセスを使用して実行されてもよい。
[00062] ミラーを変形させるためのアクチュエータで、ウェーハ層のうちの1つ以上を機能化することができる。各層はよく規定された均一な厚さを維持しなければならないため、一実施形態において、このようなアクチュエータは、基板のうちの1つ以上に埋め込まれてもよい。このようなアクチュエータを使用して、例えば、コンポーネントの基板の厚さ変動から導出されるもの等、残りの製造誤差(例えば、傾斜誤差又は形状誤差)を補正することもできる。代替又は追加として、アクチュエータを使用して、追加の(例えば、形状)コンポーネントを、金型に存在しなかった表面形状にすることもできる。例えば、金型を使用した屈曲プロセスによって行われてきたように、アクチュエータにより、一番上の形状に2Dの自由形態の補正(例えば、円筒形状)を加えることができる。複雑な形状の場合、光学面の形状を種々の形状のまとまり、又は形状コンポーネントのまとまりであると考えるのが簡便であり得る。原則的に、サブmmまで横方向長さのスケールで制御及び補正することが達成可能なはずである。
[00063] アクチュエータは、例えば、熱アクチュエータ又は圧電アクチュエータを備えることができる。中でも、熱アクチュエータは、簡易な抵抗要素であるため、標準的なウェーハ処理技術により簡単に組み込まれるであろう。しかしながら、このような熱アクチュエータを適用するには、基板内の機械応力及び熱勾配の双方を注意深く管理する必要がある。一方、圧電アクチュエータは維持しなければならない熱勾配がないため、よりきめの細かい制御ができるようになるが、より強い電場とより特殊な材料が必要となる。
[00064] リフレクタ内にイメージセンサを組み込むこともできる。このようなセンサを使用して、例えば、ビームのフットプリントを検出することができる。さらに、画素毎に追加のコーティングを付与することができ、スペクトル情報を生じることができる。簡単な例では、ジルコニウムの薄い層を備えた画素と、アルミニウムの層を備えた画素との一部を被覆するものであり、これらは5~5nmといった非常に異なる伝達特性を有する。例えば、ホウ素、シリコン、モリブデン、ルテニウム等、他にも多くの材料を使用することができる。第2クラスの例として、例えば、MoSi多層等、周期的な多層積層を付与することを含むことができ、これは、選択された狭域のスペクトルを抑制するように調整可能である。例えば、非周期的な多層、いくつかのキャッピング層で終端する多層等、さらにより複雑な積層も想定できる。これらは、ほんの一例であり、他にも多くを検討することができる。このようなセンサは、例えば、スキャナ又は計測ツール等、高度の線量制御(瞳分解及びスペクトル情報を含む)に有用となり得る。
[00065] さらなる実施形態について、以下に番号を付した条項で開示する。
1.リフレクタを製造する方法であって、
複数の略平坦な基板のうち、最上層の略平坦な基板の少なくとも最上面を研磨することと、
各略平坦な基板を所望の形状に変形させることと、
変形した基板をともに接合して、リフレクタを形成することと、
を含む方法。
2.変形させるステップ及び接合するステップは、金型を使用してともに実行される、条項1に規定の方法。
3.変形させるステップ及び接合するステップは、各基板について、
金型内で基板を個々に変形させることと、
基板を先行の基板に接合して、リフレクタを規定する積層を形成することと、の繰り返しを含む、
条項2に規定の方法。
4.金型から離型させるため、接合された基板をさらに変形するように、事前補正を加えて、金型を形成することを含む、条項3に規定の方法。
5.基板の接合には、接着剤を用いずに実行される融着接合方法が含まれる、条項1から4のいずれかに規定の方法。
6.略平坦な基板のすべての接合面を研磨することにより、融着接合方法を促進することを含む、条項5に規定の方法。
7.最上層の基板の最上面は、他の接合面よりも高い水準まで研磨される、条項6に規定の方法。
8.他の接合面は、化学機械研磨法を使用して研磨される、条項7に規定の方法。
9.方法は、リフレクタが、以下の品質、すなわち、表面粗さが0.1nm RMS未満であること、傾斜誤差が1μrad RMS未満であること、形状誤差が山谷間で1nm未満であることのうちの少なくとも一部を有するようにするものである、条項1から8のいずれかに規定の方法。
10.基板には、シリコン基板が含まれる、条項1から9のいずれかに規定の方法。
11.最上層の基板の少なくとも最上面を研磨することは、磁気粘弾性流体研磨、イオンビーム成形、又は弾性発光加工プロセスを使用して実行される、条項1から10のいずれかに規定の方法。
12.接合するステップに先立ち、基板を薄化するステップを含む、条項1から11のいずれかに規定の方法。
13.変形させるステップに先立ち、最上層の略平坦な基板の最上面の前の上面に、格子をパターニングするステップを含む、条項1から12のいずれかに規定の方法。
14.格子には、分光計格子が含まれる、条項13に規定の方法。
15.格子には、フラットフィールド分光計用の線変動空間格子が含まれる、条項13に規定の方法。
16.格子をパターニングするステップは、リソグラフィ装置を使用して実行される、条項13、14、又は15に規定の方法。
17.格子をパターニングするステップは、ホログラフィ技術を使用して実行される、条項13、14、又は15に規定の方法。
18.略平坦な基板のうちの1つ以上に、少なくとも1つのアクチュエータを埋め込むことを含み、アクチュエータは、リフレクタを変形させるように動作可能である、条項1から17のいずれかに規定の方法。
19.アクチュエータは、残りの製造誤差を補正するように動作可能である、条項18に規定の方法。
20.アクチュエータは、フリーフォーム補正等、追加の形状コンポーネントを与えるように動作可能である、条項18又は19に規定の方法。
21.アクチュエータは、接合された基板内に埋め込まれた熱アクチュエータを含む、条項18、19、又は20に規定の方法。
22.アクチュエータは、圧電アクチュエータである、条項18、19、又は20に規定の方法。
23.リフレクタ内にイメージセンサを埋め込むことを含む、条項1から22のいずれかに規定の方法。
24.画像検出器は、入射するビームのフットプリントを検出するように動作可能である、条項23に規定の方法。
25.画像検出器は、画素毎に複数のコーティングを含み、入射するビームからスペクトル情報を生成するように動作可能である、条項23又は24に規定の方法。
26.条項1から25のいずれかに規定の方法によって製造された、リフレクタ。
27.条項26に規定された少なくとも1つのリフレクタを備える、照明サブシステム。
28.条項27に規定された照明サブシステムを備える、計測装置。
29.条項27に規定された照明サブシステムを備える、リソグラフィ装置。
[00066] 本文ではICの製造におけるリソグラフィ装置の使用に特に言及しているが、本明細書で説明するリソグラフィ装置には他の用途もあることを理解されたい。考えられる他の用途は、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造である。
[00067] 本明細書ではリソグラフィ装置に関連して本発明の実施形態について具体的な言及がなされているが、本発明の実施形態は他の装置に使用することもできる。本発明の実施形態は、マスク検査装置、メトロロジ装置、又はウェーハ(あるいはその他の基板)もしくはマスク(あるいはその他のパターニングデバイス)等のオブジェクトを測定又は処理する任意の装置の一部を形成してもよい。これらの装置は一般にリソグラフィツールと呼ばれることがある。このようなリソグラフィツールは、真空条件又は周囲(非真空)条件を使用することができる。
[00068] 以上では光学リソグラフィと関連して本発明の実施形態の使用に特に言及しているが、本発明は、例えばインプリントリソグラフィ等、その他の適用例において使用されてもよく、文脈が許す限り、光学リソグラフィに限定されないことが理解されるであろう。
[00069] 本文脈において、「計測装置」について具体的に参照したが、この用語は、検査装置又は検査システムも指すものであってよい。例えば、本発明の実施形態を備える検査装置を使用して、基板の欠陥又は基板上の構造の欠陥を検出してもよい。そのような実施形態において、基板上の構造の関心対象特性は、構造の欠陥、構造の特定部分の欠如、又は基板上における望ましくない構造の存在に関連してもよい。
[00070] 上記の本書の文脈では、HHG又はHHGソースという用語が導入されている。HHGは、高次高調波発生であり、高次元高調波発生(high order harmonic generation)と称されることもある。HHGは、ターゲット、例えばガス、プラズマ、又は固形サンプル等が強いレーザパルスで照明される非線形プロセスである。続いて、ターゲットは、レーザパルスの放射の多重周波数で放射を放出してもよい。このような周波数、すなわち、多重周波数は、レーザパルスの放射の協調(harmonic)と称される。生成されたHHG放射は、第5以上の協調であると、この協調は、高協調という用語で示されると規定してもよい。HHGプロセスの基礎をなす物理プロセスは、より低い協調、通常は、第2~5の協調の放射を生成することに関連する物理プロセスとは異なる。低協調の放射の生成は、摂動理論に関連する。ホストイオンのクーロンポテンシャル(Coulomb potential)により、ターゲット中の原子の(結合)電子の軌跡を実質的に判定する。HHGにおいては、HHGプロセスに貢献する電子の軌跡は、入来するレーザ光の電場によって実質的に判定される。HHGを説明するいわゆる「3ステップモデル」では、電子は、レーザ場によって実質的に抑制された瞬間にクーロンバリアをトンネルし(ステップ1)、レーザ場によって判定された軌跡に従い(ステップ2)、放射の形で運動エネルギー及びイオン化エネルギーを解放しつつ、特定の可能性で再結合する(ステップ3)。HHGと、より低協調の放射を生成することとの間の差異を表現する他の手法として、ターゲット原子のイオン化エネルギーを超えるフォトンエネルギーを備えたあらゆる放射を、「高協調」放射と規定することが挙げられる。例えば、HHGによって生成された放射、イオン化エネルギーを下回るフォトンエネルギーによるあらゆる放射を非HHG生成放射とする。ガスターゲットとしてネオンが使用される場合、62nmより短い波長の(20.18eVより高いフォトンエネルギーを有する)あらゆる放射がHHGプロセスによって生成される。ガスターゲットとしてのアルゴンについては、約15.8eVより高いフォトンエネルギーを有するあらゆる放射がHHGプロセスによって生成される。
[00071] 本開示全体を通じて、また適切な箇所において、「反射放射」という用語は、「正反射された放射」をいうものとみなされてもよい。本開示全体を通じて、また適切な箇所において、「回折放射」という用語は、1次以上の回折次数の放射をいうものとみなされてもよい。
[00072] 本明細書に記載のいくつかの実施形態では、第1、第2、及び第3のリフレクタm1、m2、及びm3を備えた光学システムOSについて言及した。このような実施形態においては、第2及び第3のリフレクタm2及びm3は、各々、追加のリフレクタ及びさらに追加のリフレクタの例と称されてもよい。本明細書に記載のいくつかの実施形態では、第1、第2、第3、及び第4のリフレクタm1、m2、m3、及びm4を備えた光学システムOSについて言及した。このような実施形態においては、第3及び第4のリフレクタm3及びm4は、各々、追加のリフレクタ及びさらに追加のリフレクタの例と称されてもよい。
[00073] 以上、本発明の特定の実施形態を説明したが、説明とは異なる方法でも本発明を実践できることは理解されよう。上記の説明は例示的であり、限定的ではない。したがって、請求の範囲から逸脱することなく、記載されたような本発明を変更できることが当業者には明白である。

Claims (15)

  1. 計測装置用のリフレクタを製造する方法であって、
    複数の略平坦な基板のうち、最上層の略平坦な基板の少なくとも最上面を研磨することと、
    各略平坦な基板を所望の形状に変形させることと、
    前記変形した基板をともに接合して、前記リフレクタを形成することと、
    を含む方法。
  2. 前記変形させるステップ及び前記接合するステップは、金型を使用してともに実行される、請求項1に記載の方法。
  3. 前記変形させるステップ及び前記接合するステップは、各基板に対して、
    前記金型内で前記基板を個々に変形させることと、
    前記基板を先行する基板に接合して、前記リフレクタを規定する積層を形成することと、の繰り返しを含み、
    前記方法は、任意で、前記金型から離型させるため、前記接合された基板をさらに変形するように、事前補正を加えて、前記金型を形成することをさらに含む、
    請求項2に記載の方法。
  4. 前記基板の接合には、接着剤を用いずに実行される融着接合方法が含まれる、請求項1から3のいずれかに記載の方法。
  5. 前記略平坦な基板のすべての接合面を研磨することにより、前記融着接合方法を促進することを含む、請求項4に記載の方法。
  6. 前記最上層の基板の前記最上面は、他の接合面よりも高い水準まで研磨され、
    任意で、前記他の接合面は、化学機械研磨法を使用して研磨される、
    請求項5に記載の方法。
  7. 前記方法は、前記リフレクタが、以下の品質、すなわち、表面粗さが0.1nm RMS未満であること、傾斜誤差が1μrad RMS未満であること、形状誤差が山谷間で1nm未満であることのうちの少なくとも一部を有するようにするものである、請求項1から6のいずれかに記載の方法。
  8. 前記最上層の基板の少なくとも前記最上面を研磨することは、磁気粘弾性流体研磨、イオンビーム成形、又は弾性発光加工プロセスを使用して実行される、請求項1から7のいずれかに記載の方法。
  9. 前記接合するステップに先立ち、前記基板を薄化するステップを含む、請求項1から8のいずれかに記載の方法。
  10. 前記変形させるステップに先立ち、前記最上層の略平坦な基板の前記最上面の前の上面に、格子をパターニングするステップを含む、請求項1から9のいずれかに記載の方法。
  11. 前記格子には、フラットフィールド分光計用の線変動空間格子が含まれる、請求項10に記載の方法。
  12. 前記略平坦な基板のうちの1つ以上に、少なくとも1つのアクチュエータを埋め込むことを含み、前記アクチュエータは、前記リフレクタを変形させるように動作可能であり、
    任意で、前記アクチュエータは、前記接合された基板内に埋め込まれた熱アクチュエータを含む、
    請求項1から11のいずれかに記載の方法。
  13. 前記リフレクタ内にイメージセンサを埋め込むことを含み、
    任意で、前記画像検出器は、入射するビームのフットプリントを検出するように動作可能である、
    請求項1から12のいずれかに記載の方法。
  14. 請求項1から13に記載の方法で製造された、計測装置用のリフレクタ。
  15. 請求項14に記載の少なくとも1つのリフレクタを備える照明サブシステムを備える、計測装置。
JP2021546209A 2019-02-26 2020-01-28 リフレクタ製造方法及び関連するリフレクタ Active JP7330279B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP19159257.5 2019-02-26
EP19159257.5A EP3703114A1 (en) 2019-02-26 2019-02-26 Reflector manufacturing method and associated reflector
PCT/EP2020/051986 WO2020173640A1 (en) 2019-02-26 2020-01-28 Reflector manufacturing method and associated reflector

Publications (2)

Publication Number Publication Date
JP2022521373A true JP2022521373A (ja) 2022-04-07
JP7330279B2 JP7330279B2 (ja) 2023-08-21

Family

ID=65598457

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021546209A Active JP7330279B2 (ja) 2019-02-26 2020-01-28 リフレクタ製造方法及び関連するリフレクタ

Country Status (8)

Country Link
US (1) US20220134693A1 (ja)
EP (2) EP3703114A1 (ja)
JP (1) JP7330279B2 (ja)
KR (1) KR20210121151A (ja)
CN (1) CN113474880A (ja)
IL (1) IL285233A (ja)
TW (1) TWI742539B (ja)
WO (1) WO2020173640A1 (ja)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08201592A (ja) * 1995-01-26 1996-08-09 Nikon Corp 非球面反射光学素子
JPH10500225A (ja) * 1994-05-05 1998-01-06 ドネリイ コーポレーション エレクトロクロミック・ミラーおよび装置
JP2006173446A (ja) * 2004-12-17 2006-06-29 Nikon Corp 極端紫外線用の光学素子及びこれを用いた投影露光装置
WO2013161906A1 (ja) * 2012-04-27 2013-10-31 並木精密宝石株式会社 複合基板の製造方法、半導体素子の製造方法、複合基板および半導体素子
JP2017506363A (ja) * 2014-01-30 2017-03-02 カール・ツァイス・エスエムティー・ゲーエムベーハー ミラー素子を製造する方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4927480A (en) * 1989-02-27 1990-05-22 Signet Armorlite, Inc. Method and clamping device for bonding thin lens wafers
JPH10189406A (ja) * 1996-12-27 1998-07-21 Fujikoshi Mach Corp 鏡面ウェーハの接合装置
TWI308771B (en) * 2002-05-30 2009-04-11 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, device manufactured thereby, control system, and computer program product
CN100470367C (zh) 2002-11-12 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US9417515B2 (en) * 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9581890B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
WO2016205751A1 (en) * 2015-06-19 2016-12-22 QMAT, Inc. Bond and release layer transfer process
US20170003419A1 (en) * 2015-06-30 2017-01-05 Supriya Jaiswal Coatings for extreme ultraviolet and soft x-ray optics
WO2017114672A1 (en) 2015-12-31 2017-07-06 Asml Netherlands B.V. Metrology by reconstruction
EP3367165A1 (en) 2017-02-23 2018-08-29 ASML Netherlands B.V. Methods of aligning a diffractive optical system and diffractive optical element

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10500225A (ja) * 1994-05-05 1998-01-06 ドネリイ コーポレーション エレクトロクロミック・ミラーおよび装置
JPH08201592A (ja) * 1995-01-26 1996-08-09 Nikon Corp 非球面反射光学素子
JP2006173446A (ja) * 2004-12-17 2006-06-29 Nikon Corp 極端紫外線用の光学素子及びこれを用いた投影露光装置
WO2013161906A1 (ja) * 2012-04-27 2013-10-31 並木精密宝石株式会社 複合基板の製造方法、半導体素子の製造方法、複合基板および半導体素子
JP2017506363A (ja) * 2014-01-30 2017-03-02 カール・ツァイス・エスエムティー・ゲーエムベーハー ミラー素子を製造する方法

Also Published As

Publication number Publication date
EP3931866A1 (en) 2022-01-05
TWI742539B (zh) 2021-10-11
EP3703114A1 (en) 2020-09-02
KR20210121151A (ko) 2021-10-07
US20220134693A1 (en) 2022-05-05
WO2020173640A1 (en) 2020-09-03
CN113474880A (zh) 2021-10-01
JP7330279B2 (ja) 2023-08-21
TW202040592A (zh) 2020-11-01
IL285233A (en) 2021-09-30

Similar Documents

Publication Publication Date Title
TWI694487B (zh) 製程窗優化器
TWI672558B (zh) 度量衡裝置、度量衡目標及判定一邊緣粗糙度參數之方法
TWI765277B (zh) 用於在半導體製造程序中應用沉積模型之方法
TWI636334B (zh) 使用圖案化裝置形貌誘導相位之方法及設備
TWI550355B (zh) 評估euv光罩平坦度的方法和系統
TW202328633A (zh) 針對模擬系統之用於判定晶圓之層的蝕刻輪廓的方法
TW201629617A (zh) 用於倍縮光罩最佳化之方法與裝置
TWI739103B (zh) 照明源裝置、其檢測方法、微影裝置及度量衡裝置
KR101888028B1 (ko) 검사 장치 및 방법, 리소그래피 시스템 및 디바이스 제조 방법
TWI773923B (zh) 光學系統、度量衡裝置及相關方法
TW201633003A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
JP7490817B2 (ja) アライメントマークの局所的な歪みに基づくアライメント信号の生成
JP7286683B2 (ja) リフレクタおよびリフレクタの製造方法
JP2021534461A (ja) メトトロジ装置
JP7330279B2 (ja) リフレクタ製造方法及び関連するリフレクタ
NL2022635A (en) Reflector manufacturing method and associated reflector
TWI750933B (zh) 光學判定結構中之不同層中之金屬特徵之間的電接觸
TW202343150A (zh) 機械控制之應力工程光學系統及方法
TW202340881A (zh) 用於從單照明源產生多個照明位點之系統及方法
WO2024104730A1 (en) Optical system for metrology
TW202405413A (zh) 用於照明調整之方法及設備

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210928

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221221

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230522

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20230529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230808

R150 Certificate of patent or registration of utility model

Ref document number: 7330279

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150