JP7286683B2 - リフレクタおよびリフレクタの製造方法 - Google Patents

リフレクタおよびリフレクタの製造方法 Download PDF

Info

Publication number
JP7286683B2
JP7286683B2 JP2020569802A JP2020569802A JP7286683B2 JP 7286683 B2 JP7286683 B2 JP 7286683B2 JP 2020569802 A JP2020569802 A JP 2020569802A JP 2020569802 A JP2020569802 A JP 2020569802A JP 7286683 B2 JP7286683 B2 JP 7286683B2
Authority
JP
Japan
Prior art keywords
reflector
mandrel
optical
radiation
optical surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020569802A
Other languages
English (en)
Other versions
JP2021527218A (ja
Inventor
ゼイプ、フェリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2021527218A publication Critical patent/JP2021527218A/ja
Application granted granted Critical
Publication of JP7286683B2 publication Critical patent/JP7286683B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/08Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods for polishing surfaces, e.g. smoothing a surface by making use of liquid-borne abrasives
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/067Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators using surface reflection, e.g. grazing incidence mirrors, gratings
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Mechanical Engineering (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Optical Elements Other Than Lenses (AREA)

Description

[関連出願へのクロスリファレンス]
本出願は、2018年6月15日に出願され、その全体が参照により本書に援用される欧州出願第18178127.9号の優先権を主張する。
[技術分野]
本発明は、リフレクタ並びにリフレクタ、特に硬X線、軟X線、EUV放射および/または中性子用の斜入射およびかすめ入射リフレクタを製造する方法に関する。
例えばさらに小さいフィーチャを備えた集積回路などのデバイスを製造したいという継続的な要望がある。集積回路および他のマイクロスケールデバイスは、しばしば光学リソグラフィを使用して製造されるが、インプリントリソグラフィ、電子ビームリソグラフィおよびナノスケールセルフアセンブリなどの他の製造技術が知られている。どのようにデバイスが製造されても、それを検査することは必要となる。また、製造プロセスで使用されるマスクやレチクルなどのツールやコンポーネントを検査することも必要となる。より小さな寸法のフィーチャについては、より短い波長の放射を使用する必要がある。したがって、非常に短波長の、例えばEUVや軟X線(SXR)範囲の放射を使用する検査ツールが望ましい。
EUVおよびSXR範囲の放射は、そのような波長用の屈折光学素子を構築することができないので、方向付けまたは集束が困難である。EUVおよび軟X線の方向付けと集束は、リフレクタ、多くの場合かすめ入射リフレクタを使用して実行する必要がある。EUVおよび軟X線放射の波長が非常に短いため、波面収差が低く、表面粗さによる表面散乱からのフレアを伴う光を集束するためにこのような放射のリフレクタの形状誤差および表面粗さに要求されるものは、非常に厳密である。したがって、EUVおよび/または軟X線用のリフレクタの製造は、困難で、費用がかかり、および/または時間がかかる。
製造が容易であり、形状誤差が低く、および/または表面粗さが小さい、硬X線、軟X線、EUV放射および/または中性子用のリフレクタが望ましい。
厳密な形状誤差および/または表面粗さの限界を達成することができ、より速くおよび/またはより安価である、硬X線、軟X線、EUV放射および/または中性子用のリフレクタを製造する方法も望ましい。
本発明によれば、中空体であって、当該中空体を通る通路を規定する内面を有する中空体と、放射を反射するように構成された少なくとも1つの光学面部を有する内面と、支持体面部とを備えるリフレクタであって、光学面部は所定の屈折力を有し、支持体面部は所定の屈折力を有していない、リフレクタが提供される。
本発明によれば、リフレクタを製造する方法が提供される。この方法は、
軸対称のマンドレルを提供することと、
マンドレルの周面の一部を成形して、マンドレルの軸に対して回転対称ではない少なくとも1つの逆光学面部を形成することと、
マンドレルの周りにリフレクタ体を形成することと、
リフレクタ体をマンドレルから剥離することであって、それによりリフレクタ体は、逆光学面部によって規定される光学面と、マンドレルの残りの外面によって規定される支持体面部とを有する、ことと、を備える。
本発明によれば、リフレクタを製造するためのマンドレルが提供される。マンドレルの外面は、軸対称形状に従う第1面部と、軸対称形状内にあり、所定の屈折力を有する光学面に対応する逆光学面部とを有する。
本発明の実施形態は、添付の図面を参照して、例としてのみ説明される。
計測装置の概略図である。 カークパトリック-バエズ交差ミラー対の概略図である。 リフレクタの子午面と矢状面を示す図である。 本発明による方法の開始点としての軸対称マンドレルを示す図である。 図4のマンドレルに形成された逆光学面を示す図である。 マンドレルを剥離層でコーティングすることを示す図である。 マンドレルに堆積したシェルを示す図である。 マンドレルからシェルを取り外す様子を示す図である。 反射層でコーティングされたシェルの内部を示す図である。 シェルの外側に提供されている補強材を示す図である。 補強材に配置されたシェルを示す図である。 別の形式の補強材に配置されたシェルを示す図である。 カークパトリック-バエズ交差ミラー対を形成する本発明に従って製造された2つのリフレクタを示す図である。 リソグラフィ装置を示す図である。 リソセルを示す図である。 全体的なリソグラフィの方法を示す図である。
図1は、0.1nmから100nmの波長範囲の放射を使用して基板上の構造のパラメータを測定することができる計測装置302の概略図を示す。図1に示される計測装置302は、軟X線またはEUV範囲に適している。
図1は、単に一例として、EUVおよび/またはSXR放射を使用する分光散乱計を含む計測装置302の概略的な物理的配置を示している。検査装置の代替形態は、角度分解散乱計の形態で提供され得る。
検査装置302は、放射源310、照明システム312、基板サポート316、検出システム318、398、および計測処理ユニット(MPU)320を備える。
この例の光源310は、高次高調波発生(HHG)技術に基づくEUVまたは軟X線放射の発生器を含む。HHG源の代替は、放電生成プラズマ(DPP)源、自由電子レーザ(FEL)源、逆コンプトン散乱(ICS)源、または小型シンクロトロンである。HHG源は、たとえば米国コロラド州ボールダーのKMLabs(http://www.kmlabs.com/)から入手できる。放射源の主要構成要素は、駆動レーザ330およびHHGガスセル332である。ガス供給部334は、ガスセルに適切なガスを供給し、そこでガスは任意選択で電源336によってイオン化される。駆動レーザ300は、例えば、光増幅器を備えたファイバベースのレーザであり、必要に応じて最大数メガヘルツのパルス繰り返し率で、例えばパルスあたり1ns(1ナノ秒)未満持続する赤外放射のパルスを生成する。赤外放射の波長は、例えば、1μm(1ミクロン)の領域であってよい。レーザパルスは、第1放射ビーム340としてHHGガスセル332に送られ、ここで、ガス中で放射の一部が第1放射よりも高い周波数に変換されて、所望の波長のコヒーレントな第2の放射を含むビーム342を形成する。
第2放射は、複数の波長を含み得る。放射が単色である場合、測定計算(たとえば、関心の構造の再構築)を簡略化できる。ガスセル332内のガスの体積は、HHG空間を規定するが、空間は完全に囲まれている必要はなく、静的な体積の代わりにガスの流れを使用することができる。ガスは、例えば、ネオン(Ne)またはアルゴン(Ar)などの希ガスであってよい。N、O、He、Ar、Kr、Xeガス、またはそれらの混合物も使用できる。これらは設計上の選択事項であり、同じ装置内で選択可能なオプションである場合もある。異なる波長は、例えば、異なる材料の構造を画像化するときに、異なるレベルのコントラストを提供する。金属構造またはシリコン構造の検査のために、例えば、(炭素ベースの)レジストのフィーチャを画像化するために、またはそのような異なる材料の汚染を検出するために使用されるものに対して異なる波長を選択することができる。1つまたは複数のフィルタ装置344が提供され得る。例えば、アルミニウム(Al)の薄膜などのフィルタは、基本となるIR放射が検査装置にさらに通過するのを防ぐのに役立つ可能性がある。ガスセルで生成されたものの中から1つまたは複数の特定の高調波波長を選択するために、回折格子(図示せず)を設けることができる。SXR放射は空気中を移動するときに吸収されることを念頭に置いて、ビーム経路の一部またはすべてを真空環境内に含めることができる。放射源310および照明光学系312の様々な構成要素は、同じ装置内に異なる計測「レシピ」を実装するように調整可能にできる。例えば、異なる波長および/または偏光を選択可能にすることができる。
検査中の構造の材料に応じて、異なる波長は、下層への所望のレベルの侵入を提供し得る。最小のデバイスフィーチャおよび最小のデバイスフィーチャ中の欠陥を解決するために、短い波長が好まれる可能性が高い。例えば、1nm~20nmの範囲、または任意選択で1nm~10nmの範囲、または任意選択で10nm~20nmの範囲の1つまたは複数の波長を選択することができる。5nmより短い波長は、半導体製造で一般的に関心のある材料を反射するときに、非常に低い臨界角に悩まされる。したがって、5nmを超える波長を選択すると、より高い入射角でより強い信号が得られる。一方、検査タスクが特定の材料の存在を検出すること、たとえば汚染を検出することである場合、50nmまでの波長が役立つ可能性がある。
放射源310から、フィルタリングされたビーム342は、検査チャンバ350に入り、そこで、関心のある構造を含む基板Wが、基板サポート316によって測定位置での検査のために保持される。関心のある構造は、Tと符号が付されている。検査チャンバ350内のガス圧は、真空ポンプ352によって真空近くに維持されるので、EUV放射は、過度の減衰なしに集束(focusing)システムを通過することができる。照明システム312は、放射を集束ビーム356に集束させる機能を有し、公開された米国特許出願US2017/0184981A1(その内容が参照によりその全体が本明細書に組み込まれる)に記載されているように、例えば、二次元曲面ミラー、または一連の一次元曲面ミラーを含み得る。集束(フォーカシング)は、関心のある構造に投影されたときに、直径が約10μm未満の円形または楕円形のスポットSを達成するために実行される。これは、光源を像平面に直接イメージングするか、光源によって照射された小さなアパーチャを像平面にイメージングすることによって実現できる。基板サポート316は、例えば、X-Y-Z並進ステージおよび回転ステージを含み、それによって、基板Wの任意の部分を、ビームの焦点に所望の方向でもたらすことができる。したがって、放射スポットSは、関心のある構造上に形成される。あるいは、またはさらに、基板サポート316は、例えば、関心のある構造Tへの集束ビームの入射角を制御するために、基板Wを特定の角度で傾斜させることができる傾斜ステージを備える。
任意選択で、照明システム312は、フィルタリングされたビーム342内の異なる波長のスペクトルおよび/または強度を測定するように構成され得る参照検出器314に放射の参照ビームを提供する。参照検出器314は、計測処理部320に提供される信号315を生成するように構成され得る。この信号315は、フィルタリングされたビーム342のスペクトルおよび/またはフィルタリングされたビーム内の異なる波長の強度に関する情報を含み得る。
反射放射360は、検出器318によって捕捉され、スペクトル382は、ターゲット構造Tの特性を計算する際に使用するために処理部320に提供される。したがって、照明システム312および検出システム318は、検査装置を形成する。この検査装置は、米国特許出願第2016282282号(その内容は、参照によりその全体が本明細書に組み込まれる)に記載されている種類の軟X線および/またはEUV分光反射率計を備えてもよい。
ターゲットTが特定の周期性を有する場合、集束ビーム356の放射も部分的に回折され得る。回折放射397は、入射角そして反射放射360に対して明確に定義された角度で別の経路をたどる。図1では、図示の回折放射397は概略的に描かれており、回折放射397は図示の経路以外の多くの経路をたどることができる。検査装置302はまた、回折放射397の少なくとも一部を検出および/または画像化するさらなる検出システム398を含み得る。図1では、単一のさらなる検出システム398が描かれているが、検査装置302の実施形態は、複数の回折方向で回折された放射線397を検出および/または画像化するために異なる位置に配置された複数のさらなる検出システム398を含み得る。言い換えれば、ターゲットTに衝突する集束放射ビームの(高次)回折次数は、1つまたは複数のさらなる検出システム398によって検出および/または画像化される。1つまたは複数の検出システム398は、計測処理部320に提供される信号399を生成する。信号399は、回折光397の情報を含み得、および/または回折光397から得られた画像を含み得る。
スポットSと所望の製品構造との位置合わせおよび集束を支援するために、検査装置302はまた、計測処理部320の制御下で補助放射を使用する補助光学系を提供し得る。計測処理部320はまた、並進ステージ、回転および/または傾斜ステージを操作する位置制御部372と通信可能である。計測処理部320は、センサを介して、基板の位置および向きに関する非常に正確なフィードバックを受け取る。センサ374は、例えば、ピコメートル(pm)の領域で正確に基板サポート316の部分を測定することができる干渉計を含み得る。検査装置302の動作において、検出システム318によって捕捉されたスペクトルデータ382は、計測処理部320に送られる。
検査装置は、任意の適切な入射角(例えば法線入射、略法線入射、またはかすめ入射)で軟X線および/またはEUV放射を使用して、たとえば非対称性の回折ベースの測定を実行する。入射角はまた、20度から40度の範囲、例えば30度であり得る。検査装置は、ハイブリッド計測システムに設けることができる。測定するパフォーマンスパラメータには、オーバーレイ(OVL)、限界寸法(CD)、ビアコンタクトランディング(CDとOVLの組み合わせ)、およびCD均一性(CDU)が含まれる。測定技術には、コヒーレント回折イメージング(CDI)および解像度オーバーレイ(ARO)計測が含まれる。軟X線および/またはEUV放射は、例えば、100nm未満の波長を有し得、例えば、5nm~30nmの範囲、任意選択で10nm~20nmの範囲の放射を使用し得る。放射は、特性が狭帯域または広帯域であってよい。放射は、特定の波長帯域に離散的なピークを持っていてもよいし、より連続的な特性を持っていてもよい。
検査装置302は、リソセル内で処理されたレジスト材料内の構造を測定するために(現像検査またはADI後)、および/またはそれらがより硬い材料で形成された後(エッチング検査またはAEI後)に構造を測定するために使用され得る。例えば、基板は、現像装置、エッチング装置、アニーリング装置、および/または薄層堆積およびイオン注入(ドーピング)装置を含む他の装置によって処理された後、検査装置302を使用して検査され得る。
照明システム312で使用されるリフレクタの製造は、フレアを最小限に抑えるために高度の平坦性が必要とされるため、困難であり、費用がかかり、および/または時間がかかる。イルミネータは、約2×2μm~40×40μmの寸法のウェハ上の計測ターゲットに放射の99%以上を集束できることが望ましい。これを達成するために、リフレクタの関連する表面粗さが100pmRMSより良く、任意選択で50pmRMSより良く、そして最も任意選択で35pmRMSより良くなることが望ましい。関連するRMS表面粗さは、測定された表面プロファイルのパワースペクトル密度(PSD)の積分によって得られる。表面プロファイルは、標準規格SEMI MF1811「表面プロファイルデータからパワースペクトル密度関数と関連する仕上げパラメータを推定するためのガイド」に従って測定される。これは、測定された表面プロファイルからPSDを導出するための信号処理についても説明している。RMS表面粗さは、PSDをゼロに等しい空間周波数から関心のある最短放射波長の逆数に等しい空間周波数まで積分することによって得られる。PSDは、多くの場合、ロングトレースプロファイラー、フルアパーチャ干渉法、サブアパーチャスティッチング干渉法、白色光干渉顕微鏡法(WLI)、原子間力顕微鏡法(AFM)など、解像度とスキャン長が異なる複数の機器からの測定データを組み合わせることによって取得される。
軸対称のかすめ入射(軟)X線ミラーを製造するための既知の技術は、電着複製(electro-deposition replication)技術を使用して、薄い、フルシェル(full-shell)の管状ミラーを作成する。電着は本質的にマンドレルから表面粗さをコピーする。しかしながら、既知の方法では、軸対称のリフレクタしか作成できない。
本発明の一実施形態では、照明システム312は、図2に示されるように、1つまたは2つのカークパトリック-バエズ交差ミラー対101、102を含む。これらのミラーは、子午面(主光線および主光線の交点でのミラー面法線を含む平面)において湾曲しているが、矢状面(子午面に垂直な面)においては殆ど又は全く湾曲していない。子午面MPと矢状面SPを図3に示す。子午面MPでは、ミラー101、102の曲率は、多くの場合、楕円のセグメントに従う。これらのミラーには例えば500mm以上の大きな矢状面の曲率半径が必要なので、フルシェルの管状ミラーは実用的ではない。
EUVまたは軟X線検査装置用のリフレクタを製造するための従来のアプローチは、ブランクを所望の形状および平坦度まで研磨することを含む。これには非常に長い時間がかかり、費用がかかる。
本発明の一実施形態は、光学設計の自由度、複製から低コストで超研磨技術を使用して達成可能な非常に低い表面粗さ、およびコンパクトなフルシェルの電着かすめ入射ミラーの剛性の利点を組み合わせた、フルシェルの複製された光学素子を製造する方法を提供する。
本発明による方法が図4から9に示されている。図4に示されているように、適切な材料、例えば、結晶シリコン、ガラスまたはガラスセラミックの円錐台状のプリフォームが提供される。プリフォームは厳密に円錐形である必要はないが、その軸に沿って単調に減少する断面を持つ必要があり、つまり、膨らみやくぼみがない。プリフォームは、ダイヤモンド旋削や研削および研磨などの従来の技術によって、所望の形状に作製することができる。プリフォームの表面粗さは、本発明の機能にとって重要ではない。プリフォームは軸対称である必要はないが、軸対称のプリフォームを作成する方が簡単であり、軸対称は最終製品の剛性に貢献する。
次に、図5に示されるように、片側から材料を除去することによって、逆光学面(inverse optical surface)がプリフォーム200上に形成される。逆光学面は、従来の研削技術を使用して所望の最終形状に近い形状を達成し、次に超研磨技術を使用して最終的な所望の形状(所望の形状誤差限界内)および粗度を達成する2段階プロセスで形成することができる。逆光学面は、任意選択で100pmRMS未満、任意選択で50pmRMS未満、任意選択で35pmRMS未満の粗さを有する。逆光学面の形状は、製造されるべきリフレクタの面の逆である。本発明で使用できる適切な超研磨技術には、磁気レオロジー流体仕上げ(MRF)、流体ジェット研磨(FJP)、弾性発光加工(EEM)、イオンビーム加工およびフロート研磨が含まれる。
逆光学面201は、最終的に製造されるリフレクタの子午面が元のプリフォームの軸を含むように配置される。したがって、この平面に必要な曲率を簡単に実現できる。エンドリフレクタの矢状面は、光学プリフォームの軸に垂直であり、はるかに大きな曲率半径を持つことができる。最終的なリフレクタは、矢状面で平坦にすることも、矢状面でのリフレクタの断面がわずかに凹状になるように負に湾曲させることもできる。逆光学面は、光学面上で一定ではない曲率を持つことができる。つまり、平坦または円のセグメントである必要はないが、多項式形式または本質的に自由形式の円錐断面の他のセグメントにすることもできる。
図6に示すように、薄層202は、約100nmの厚さでマンドレル200の外面全体にコーティングされている。この層202は、電着プロセス中に電極として機能し、また剥離層(release layer)としても機能する。適切な剥離層は、マンドレルおよび形成されるべきミラーに使用される材料に依存する。一実施形態では、金、クロム、または白金を電極および剥離層として使用することができる。場合によっては、剥離層が不要な場合や、応力のないニッケルのより厚い層の電着を容易にするために、例えばニッケル(これも約100nmの厚さ)の第2の薄層で覆われてもよい。
次に、図7に示すように、剥離層202の上部で、マンドレル200の周りにアウターシェル203が形成される。シェルは、例えば、電着によって形成することができる。一実施形態では、シェルは、マンドレルをスルファミン酸ニッケル(Ni(SONa浴に沈めることによって、数百μmの低応力ニッケル層を堆積させることによって形成される。
シェルを形成するために他の技術を使用することができる。例えば、シェルは、マンドレルに塗布され、既知の技術を使用して硬化される樹脂で形成することができる。別の可能性は、ガラスまたは熱可塑性ポリマーからシェルを形成することである。これを達成するために、マンドレルよりわずかに大きい直径の加熱されたガラス管がマンドレルの周りに配置される。ガラス管とマンドレルの間の空間は、外圧により加熱されたガラス管がマンドレルの形状、特に逆光学面の形状に合わせられるように排気される。次に、ガラスシェルは、マンドレルによって定義された恒久的な形状になるように冷却される。最初のチューブがガラスの代わりに熱可塑性ポリマーで作られている同様のプロセスに従うことができる。
完全なシェル203が形成されたら、図8に示すように、マンドレルの小さい方の端の方向にそれをスライドさせることによって、マンドレル200からそれを取り除く。これは、マンドレルおよび/またはシェルを加熱または冷却し、マンドレルの材料とシェルの材料との間の熱膨張係数の違いを利用してシェルをマンドレルから剥離することによってアシストすることができる。マンドレルの熱膨張係数がシェルの熱膨張係数以上の場合は、マンドレルを冷却しながらシェルを加熱することで剥離を達成できる。シェルとマンドレルの加熱または冷却を最小限に抑えて、熱ヒステリシスによる形状の歪みを回避することが望ましい。剥離層202もこのプロセスをアシストし、場合によっては、剥離層の残留物202a、202bが、マンドレル200の外面とシェル203の内面の両方に残ることがある。必要なクリーニングの後、マンドレルを再び使用して、別のミラーを形成することができる。したがって、逆光学面を形成するための時間と費用のかかる超研磨のステップは、一度だけ行う必要があるが、マンドレルを使用して多くのリフレクタを作ることができる。
図9は、マンドレルから分離されたシェル203を示している。その内面は、光学面部204と非光学面部205の2つの部分を有する。光学面部204は、マンドレル上に形成された逆光学面201により定義される所望の表面形状を有する。非光学面部205は、元のプリフォームの形状を有し、その機能は光学面部を支持することであるため、それは支持体面部と呼ばれることがある。光学面部204は、子午線方向に所定の屈折力(optical power)を有する(言い換えれば、反射放射を収束、発散、または他の方法で修正する)が、非光学面部205は、屈折力を有さないか、または異なる屈折力を有し得る。一実施形態では、非光学面部の鏡面反射率は、光学面部の鏡面反射率よりもはるかに小さく、光学面部の鏡面反射率の50%未満である。一実施形態では、光学面部204は凹面である。凸面を作ることも可能であるが、光学面部の曲率が大きい場合は、シェルを確実に剥離(リリース)するために、プリフォームのテーパの度合いを大きくする必要がある。
シェル203は、放射が伝播することができる中央通路を備えた中空体である。光学面部の矢状面(すなわち、通路の軸に垂直)の曲率半径(第1曲率半径と呼ばれる)は、リフレクタの所望の光学特性によって決定され、一般に大きく、無限でさえある。非光学面部の矢状面(すなわち、通路の軸に垂直)の曲率半径(第2曲率半径と呼ばれる)は、シェルの物理的特性、例えば合成およびサイズ、によって決定され、通常、第1曲率半径よりも小さい。
光学面部204は、必要に応じて、例えば金またはルテニウムなどの反射増強コーティングを設けることができる。そのようなコーティングは、光学面部204またはシェルの内面全体に選択的に適用でき、例えば選択的コーティングよりも簡単な場合には、さらには外面に適用することができる。コーティングの厚さを厚くする必要がないため、シェル全体をコーティングしても材料費は高くない。一実施形態では、リフレクタは、約70%を超える、任意選択で約80%を超える、軟X線またはEUV範囲における少なくとも1つの波長に対するかすめ入射での反射率を有する。
光学面部204(任意のコーティングが適用された後)は、所望の低い表面粗さを有するが、非光学面部205は、特定の表面粗さを有する必要がなく、したがって、より高い表面粗さを有していてもよい。非光学面部の粗さは、マンドレルからのシェルの取り外しを妨げてはならない。非光学面部は特に低い表面粗さを有する必要がないので、必要とされる時間と費用のかかる超研磨の量が最小限に抑えられる。非光学面には、例えばダイヤモンド旋削で達成できる粗さで十分である。
矢状面(シェル203の軸に垂直)における光学面部204の曲率半径は、同じ平面における非光学面部205の曲率半径よりも大きい。一実施形態では、矢状面および/または子午面における光学面部の曲率半径は、500mmより大きい。非光学面部は、曲率半径が小さいため、ミラーを過度に大きくしたり重くしたりすることなく、ミラーに強度および特に剛性を提供する。
任意選択で、矢状面(シェルの軸に垂直)における非光学面の断面は、非光学面部の軸(元のプリフォームの軸に対応する)において180°を超える角度に存在している。言い換えれば、非光学面の断面は半円を超える弧である。このような構成では、シェルの非光学面部は硬く、ビーム経路のための十分なスペースを提供する。
必要に応じて、イオンビームスパッタリング堆積により、光学面部204の形状誤差を補正することが可能である。
必要に応じて、補強材をフルシェルリフレクタに追加することができる。図10、11、および12には、2つのタイプの補強材が示されている。図10は、光学面の領域でシェルの外側に設けられ、円周方向に延びるリブ206を示している。リブ206は、樹脂、金属、またはシェルの材料と互換性があり、適切な機械的特性を有する他の任意の材料で形成することができる。リブは、円周方向に加えて、または円周方向の代わりに、横方向、斜め方向、および/または縦方向に配置することができる。リブは、光学面部の外側の非光学面部の外側、またはその両方に設けることができる。リブのパターンは、必要な強度とサポートを提供するように簡単に設計されている。
図11では、軸対称であり、元のプリフォームの外形に対応するホルダ207に取り付けられた完全なシェルリフレクタが示されている。フルシェルリフレクタは、低応力接着剤208を使用してホルダ207に固定されている。ホルダ207は、中空体ではなくフレームワークにすることができる。ホルダ207は、上記のように補強リブを有することができる。フルシェルリフレクタは、別個に製造されたホルダに容易に挿入されるので、ホルダ207の使用は、製造を簡単にすることができる。変形例のホルダ207が図12に示されている。この例では、ホルダ207は、その外面の一部のみにわたってリフレクタ204に接触して支持する複数の突起207aを有する。
マンドレルから取り外す前または後のいずれかに、任意のタイプの補強材をフルシェルリフレクタに追加することができる。フルシェルリフレクタがまだマンドレル上にある間に補強材を追加すると、補強材が追加されている間、マンドレルが光学面の形状を維持し、補強材を使用して、取り外しプロセス中にフルシェルリフレクタを係合および支持できるという利点がある。さまざまなタイプの積層造形(additive manufacturing)技術が補強材を追加するのに役立つ。
図13は、計測装置などの検査装置の照明システムで使用するためのカークパトリック-バエズ交差ミラー対として構成された2つのフルシェルリフレクタ203a、203bを示す。光学面部分204の曲率は、縮尺通りに示されていない。光学面の必要な曲率およびビーム角に応じて、フルシェルリフレクタ203a、203bは、異なる方向に、例えば両方の狭い端部が隣接するように、向けられてよい。リフレクタはかすめ入射リフレクタであるため、ビーム角は低く、非光学面部205は通常、ビーム経路に干渉しない。ただし、必要に応じて、非光学面の一部を切り取って、ビームの閉塞を回避したり、装置の他のコンポーネントとの干渉を回避したりすることができる。
非光学面部のサポートが必要とされない場合、光学面203を非光学面部205から完全に分離して、独立型ミラーを提供することが可能である。そのようなミラーは、非光学面によって提供されるサポートの利点が利用されなくても、迅速かつ安価に製造可能であるという利点を保持している。非光学面部を除去することを意図する場合、マンドレルは、複数の逆光学面で形成されて、複数のリフレクタを同時に製造することを可能にすることができる。
単一のシェル内に複数の光学面を有することも可能であり、時には望ましい。その場合、単一のマンドレル上に複数の逆光学面が形成される。複数の光学面を有するシェルは、光学素子ではなく光学システムと見なすことができる。このような光学系では、光は複数回反射する。そのような光学系の例は、第1および第2の光学面がシェルの片側に軸方向に整列されているウォルター型光学系である。2つの光学面は、離間または隣接することができる。表面の形状に応じて、光学面間に検出可能な境界がある場合とない場合がある。光学面の間に遷移ゾーン(transitional zone)が存在してもよい。2つの隣接する光学面は、より大きな自由曲面の一部と見なすことができる。別の例では、光学面は、シェルの外周の周りに、例えばその反対側に、配置される。
一実施形態では、フルシェルリフレクタの光学面は、所望の表面形状に加えて、その表面上に回折格子を有する。そのようなリフレクタは、超研磨工程の後、ホログラフィック位相格子がマンドレルの逆光学面上に形成されることを除いて、上記と同じ方法によって製造することができる。これは、基板上のレジスト層で光学ホログラフィを実行し、レジストを現像して、マンドレルに反応性イオンエッチングをすることによって行うことができる。洗浄後、回折格子にルテニウムコーティングを施すことができる。
回折格子がマンドレルに適用されるとき、そのマンドレルからのフルシェルの剥離は、より困難となる可能性がある。しかしながら、実用的な回折格子の深さはわずか10nmであるため、複製と剥離(熱膨張を使用)はそれほど問題なく可能なはずである。
本発明の方法は、広範囲のサイズのリフレクタを製造するために使用することができる。この方法は、光学面の長さ(図9のa)が50mmから500mmの範囲、任意選択で100mmから350mmの範囲、幅(図9のbまたはc)が10mmから100mmの範囲、任意選択で30mmから60mmの範囲を持つリフレクタの製造に特に適している。非光学面部の半径は、10mmから100mmの範囲、任意選択で30mmから50mmの範囲とすることができる。シェルの厚さは、使用する材料によって異なる。電着金属シェルの場合、100μmまたは200μmまたはそれ以上の厚さが十分である。
本発明に係るリフレクタは、角度分解散乱計、分光散乱計、およびエリプソメトリ散乱計を含む、すべてのタイプの計測装置で使用することができる。このような装置は、オーバーレイ、フォーカス、ドーズ、限界寸法(CD)、限界寸法均一性(CDU)、側壁角度(SWA)、コンフォーマル層の厚さ、ビアコンタクトランディング(via contact landing)などを含む、デバイスまたはプロセスのさまざまなパラメーターを測定するために使用できる。
本発明に係るリフレクタはまた、軟または硬X線または中性子望遠鏡、軟または硬X線または中性子源、ならびに軟または硬X線または中性子ビームライン(例えばシンクロトロンの使用)、軟または硬X線または中性子回折装置、または半導体マスク検査ツールを含む、多種多様な他の装置で使用することができる。
本発明の実施形態に係るリフレクタを含む光学システムを備える検査装置は、図15に概略的に示されているリソセルの一部を形成することができる。リソセルは、例えば図14に概略的に示されているようなリソグラフィ装置、1つまたは複数の基板処理デバイスまたは装置、および検査装置を備える統合システムである。リソセルは、図16に示すように、厳密な制御ループを利用する全体的なリソグラフィのプロセスを実行するように構成できる。リソグラフィ装置、リソセル、および全体的なリソグラフィプロセスについて以下に説明する。
図14は、リソグラフィ装置LAを概略的に示す。このリソグラフィ装置LAは、放射ビームB(例えばUV放射、DUV放射またはEUV放射)を調整するよう構成される照明システム(イルミネータとも呼ばれる)ILと、パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスMAを正確に位置決めするよう構成される第1位置決め装置PMに接続されるマスクサポート(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するよう構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWに接続される基板サポート(例えばウェハテーブル)WTと;パターニングデバイスMAにより放射ビームBに付与されたパターンを基板Wの(例えば一以上のダイを含む)目標部分Cに投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を含む。
動作中、照明システムILは、例えばビームデリバリシステムBDを介して、放射源SOからビームを受け取る。照明システムILは、放射を方向付け、放射を成形し、および/または放射を制御するための屈折型、反射型、磁気型、電磁気型、静電型、および/または他の形式の光学素子といった各種光学素子またはこれらの任意の組み合わせを含んでもよい。イルミネータILは、パターニングデバイスMAの平面におけるその断面において所望の空間および角度強度分布を有するように放射ビームBを調整するために使用されてもよい。
本明細書において使用する「投影システム」PSという用語は、使用する露光放射、および/または液浸液の使用や真空の使用などの他の要因に合わせて適宜、例えば屈折光学システム、反射光学システム、反射屈折光学システム、アナモルフィック光学システム、磁気光学システム、電磁光学システムおよび/または静電光学システム、又はその任意の組合せを含む任意のタイプの投影システムを網羅するものとして広義に解釈されるべきである。本明細書において「投影レンズ」という用語を使用した場合、これはさらに一般的な「投影システム」PSという用語と同義と見なすことができる。
リソグラフィ装置LAは、投影システムPSと基板wの間の隙間を埋めるように、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)により覆われる形式の装置であってよい。これは、液浸リソグラフィとも呼ばれる。液浸技術の詳細については、米国特許第6952253号に記載されており、これは参照により本明細書に組み込まれる。
リソグラフィ装置LAはまた、2つ以上の基板サポートWT(「デュアルステージ」とも呼ばれる)を有するタイプのものであり得る。 そのような「多段」マシンでは、基板サポートWTを並行して使用することができ、および/または、他方の基板サポートWT上の基板Wを他の基板W上のパターンを露光するために使用しながら、基板Wの次の露光の準備におけるステップを一方の基板サポートWT上に配置された基板W上で実行することができる。
基板サポートWTに加えて、リソグラフィ装置LAは、測定ステージを含み得る。測定ステージは、センサおよび/または洗浄装置を保持するように構成されている。センサは、投影システムPSの特性または放射ビームBの特性を測定するように構成され得る。測定ステージは、複数のセンサを保持し得る。洗浄装置は、リソグラフィ装置の一部、例えば、投影システムPSの一部または液浸液を提供するシステムの一部を洗浄するように構成され得る。測定ステージは、基板サポートWTが投影システムPSから離れているときに、投影システムPSの下に移動することができる。
動作中、放射ビームBは、マスクサポートMTに保持されるパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスMA上にあるパターン(デザインレイアウト)によりパターン化される。マスクMAの通過後、放射ビームBはビームを基板Wの目標部分Cに合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置測定システムIFの助けを借りて、放射ビームBの経路上の集束され且つ整列された位置に異なる目標部分Cが位置するように基板サポートWTを正確に移動できる。同様に、第1位置決め装置PMおよび場合により別の位置センサ(図1には明示されていない)は、放射ビームBの経路に対してパターニングデバイスMAを正確に位置決めするために用いることができる。パターニングデバイスMAおよび基板Wは、マスクアライメントマークM1、M2および基板アライメントマークP1,P2を使用して位置合わせすることができる。図示の基板アライメントマークP1,P2は専用のターゲット部分を占めるが、それらはターゲット部分の間のスペースに配置されてもよい。基板アライメントマークP1,P2は、これらがターゲット部分C間に配置されるとき、スクライブレーンアライメントマークとして知られている。
図15に示されるように、リソグラフィ装置LAは、たまにリソセルまたは(リソ)クラスタとも称され、しばしば基板W上での露光前および露光後プロセスを実行するための装置も含むリソグラフィシセルLCの一部を形成してよい。従来、これらは、例えば基板Wの温度を調整するため、例えばレジスト層の溶剤を調整するために、レジスト層を堆積させるスピンコート装置SC、露光されたレジストを現像する現像装置DE、冷却プレートCH、およびベークプレートBKを含む。基板ハンドラまたはロボットROは、基板Wを入力/出力ポートI/O1,I/O2から取り出し、それらを異なるプロセス装置間で基板を移動させ、リソグラフィ装置LAのローディングベイLBに基板Wを運ぶ。リソセルの装置(しばしば集合的にトラックとも称される)は、通常はトラック制御ユニットTCUの制御下にあり、TCU自体は監視制御システムSCSにより制御され、SCSは例えばリソグラフィ制御ユニットLACUを介してリソグラフィ装置LAを制御してもよい。
リソグラフィ装置LAによって露光された基板Wを正確かつ一貫して露光するために、基板を検査して、後続の層間のオーバーレイエラー、線幅、限界寸法(CD)などのパターン構造の特性を測定することが望ましい。この目的のために、検査ツール(図示せず)をリソセルLCに含めることができる。エラーが検出された場合、たとえば、特に同じバッチまたはロットの他の基板Wがまだ露光または処理される前に検査がなされる場合には、後続の基板の露光または基板Wで実行される他の処理ステップを調整することができる。
計測装置と呼ばれることもある検査装置は、基板Wの特性、特に、異なる基板Wの特性がどのように変化するか、または同じ基板Wの異なる層に関連する特性が層ごとにどのように変化するかを決定するために使用される。あるいは、検査装置は、基板W上の欠陥を特定するように構成されてもよく、例えば、リソセルLCの一部であってもよく、またはリソグラフィ装置LAに統合されてもよく、または独立型(スタンドアロン)の装置であってもよい。検査装置は、潜像(露光後のレジスト層の画像)、または半潜像(後露光ベークステップPEB後のレジスト層の画像)、または現像されたレジスト画像(レジストの露光部分または非露光部分が除去された画像)、またはエッチングされた画像(エッチングなどのパターン転写ステップ後)の特性を測定することができる。
検査ツールは、しばしば計測ツールMTとも呼ばれる。そのような測定を行うための様々なタイプの計測ツールMT、走査型電子顕微鏡または様々な形態のスキャトロメータ計測ツールMTが含まれる、が知られている。スキャトロメータは、瞳またはスキャトロメータの対物レンズの瞳との共役面にセンサを有することにより(通常は瞳ベースの測定と呼ばれる測定)、または像平面または像平面との共役面にセンサを有することにより、リソグラフィプロセスのパラメータの測定(この場合、測定は、通常、画像またはフィールドベースの測定と呼ばれる)を可能とする汎用性の高い機器である。このようなスキャトロメータおよび関連する測定技術は、参照により全体が本明細書に組み込まれる、特許出願US20100328655号、US2011102753A1号、US20120044470A号、US20110249244号、US20110026032号またはEP1,628,164A号にさらに記載されている。上述のスキャトロメータは、軟X線からの光および可視から近赤外の波長範囲の光を使用して格子を測定することができる。
第1の実施形態では、スキャトロメータMTは、角度分解スキャトロメータである。そのようなスキャトロメータでは、回折格子の特性を再構成または計算するために、測定された信号に再構成法を適用することができる。そのような再構成は、例えば、散乱放射線とターゲット構造の数学的モデルとの相互作用をシミュレーションし、シミュレーション結果を測定結果と比較することから生じ得る。数学的モデルのパラメータは、シミュレートされた相互作用が実際のターゲットから観察されたものと同様の回折パターンを生成するまで調整される。
第2の実施形態では、スキャトロメータMTは分光スキャトロメータMTである。そのような分光スキャトロメータMTでは、放射源から放出された放射はターゲットに向けられ、ターゲットからの反射または散乱放射は、正反射性の反射放射のスペクトルを測定する(つまり、波長の関数としての強度の測定)スペクトロメータ検出器に向けられる。このデータから、例えば厳密結合波解析と非線形回帰により、またはシミュレーションされたスペクトルのライブラリとの比較により、検出されたスペクトルを生じさせるターゲットの構造またはプロファイルを再構築できる。
第3の実施形態では、スキャトロメータMTは、エリプソメトリックスキャトロメータである。エリプソメトリックスキャトロメータは、各偏光状態の散乱放射を測定することにより、リソグラフィプロセスのパラメータを決定することを可能にする。そのような計測装置は、例えば、計測装置の照明セクションに適切な偏光フィルタを使用することにより、(直線、円、または楕円などの)偏光を放出する。計測装置に適した放射源は、偏光放射も提供してもよい。既存のエリプソメトリックスキャトロメータの様々な実施形態は、米国特許出願第11/451,599号、第11/708,678号、第12/256,780号、第12/486,449号、第12/920,968号、第12/922,587号、第13/000,229号、第13/033,135号、第13/533,110号および第13/891,410号に記載されており、その全体が参照により本明細書に組み込まれる。
スキャトロメータMTの一実施形態では、スキャトロメータMTは、反射スペクトルおよび/または検出構成の非対称性を測定することにより、2つの正しく位置合わせされていない格子または周期構造のオーバーレイを測定するように構成される。非対称性は、オーバーレイの程度に関連する。2つの(典型的には重なり合う)格子構造は、2つの異なる層(必ずしも連続した層である必要はない)に適用されてもよく、ウェハ上の実質的に同じ位置に形成されてもよい。スキャトロメータは、例えば共同所有の特許出願EP1,628,164Aに記載されるように、非対称性を明確に区別できるよう対称的な検出構成を有することができる。これにより、回折格子のミスアライメントを簡単に測定できる。周期構造の非対称性を介して測定されるターゲットとして周期構造を含む2つの層の間のオーバーレイエラーを測定するためのさらなる例は、国際特許出願公開番号第WO2011/012624号または米国特許出願第US2016/0161863号に見いだすことができ、これらは参照によりその全体が本明細書に組み込まれる。
関心のある他のパラメータは、フォーカスおよびドーズであり得る。フォーカスおよびドーズは、参照によりその全体が本明細書に組み込まれる米国特許出願第2011/0249244号に記載されているように、スキャトロメトリによって(または代わりに走査型電子顕微鏡法によって)同時に決定され得る。フォーカスエネルギーマトリックス(FEM-フォーカス露光マトリックスとも呼ばれる)の各ポイントに対する限界寸法と側壁角度の測定値の独自の組み合わせを持つ単一の構造を使用できる。限界寸法と側壁角度のこれらの独自の組み合わせが利用可能な場合、フォーカスとドーズの値はこれらの測定値から独自に決定することができる。
計測ターゲットは、複合格子の集合体であってよく、リソグラフィプロセスによって、ほとんどがレジストであるが、例えばエッチングプロセスの後でも形成される。通常、回折格子内の構造のピッチと線幅は、計測ターゲットからの回折次数を捕捉できるように、測定光学系(特に光学系のNA)に強く依存する。前に示したように、回折信号は、2つの層間のシフトを決定するために使用でき(「オーバーレイ」とも呼ばれる)、リソグラフィプロセスによって生成された元の回折格子の少なくとも一部を再構築するために使用できる。この再構成は、リソグラフィプロセスの品質のガイダンスを提供するために使用され、リソグラフィプロセスの少なくとも一部を制御するために使用される。ターゲットは、ターゲットのデザインレイアウトの機能部分の寸法を模倣するように構成された、より小さなサブセグメンテーションを持ってもよい。このサブセグメンテーションにより、ターゲットは設計レイアウトの機能部分により類似した動作をするため、全体的なプロセスパラメータの測定値は、設計レイアウトの機能部分によりよく似ている。ターゲットは、アンダーフィルモードまたはオーバーフィルモードで測定できる。アンダーフィルモードでは、測定ビームはターゲット全体よりも小さいスポットを生成する。オーバーフィルモードでは、測定ビームはターゲット全体よりも大きなスポットを生成する。このようなオーバーフィルモードでは、異なるターゲットを同時に測定して、異なる処理パラメータを同時に決定することも可能である。
特定のターゲットを使用するリソグラフィパラメータの全体的な測定品質は、このリソグラフィパラメータを測定するために使用される測定レシピによって少なくとも部分的に決定される。「基板測定レシピ」という用語は、測定自体の1つまたは複数のパラメータ、測定された1つまたは複数のパターンの1つまたは複数のパラメータ、あるいはその両方を含み得る。例えば、基板測定レシピで使用される測定が回折ベースの光学測定である場合、測定のパラメータの1つまたは複数は、放射の波長、放射の偏光、基板に対する放射の入射角、基板上のパターンに対する放射の方向などを含み得る。測定レシピを選択するための基準の1つは、例えば、プロセス変動に対する測定パラメータの1つの感度であり得る。より多くの例は、参照によりその全体が本明細書に組み込まれる米国特許出願第2016/0161863号および米国特許出願第2016/0370717号に記載されている。
通常、リソグラフィ装置LAにおけるパターニングプロセスは、基板W上の構造の寸法決定および配置の高精度を必要とするプロセスにおける最も重要なステップの1つである。この高精度を確実にするために、図16に概略的に示されているいわゆる「全体的な」制御環境に3つのシステムを組み合わせることができる。これらのシステムの1つは、計測ツールMT(2番目のシステム)とコンピュータシステムCL(3番目のシステム)に(仮想的に)接続されているリソグラフィ装置LAである。このような「全体的な」環境の鍵は、これら3つのシステム間の連携を最適化して、プロセスウィンドウ全体を強化し、厳密な制御ループを提供して、リソグラフィ装置LAによって実行されるパターニングがプロセスウィンドウ内にとどまるようにすることである。プロセスウィンドウは、特定の製造プロセスが定義された結果(機能的な半導体デバイスなど)を生成するプロセスパラメータの範囲(たとえば、ドーズ、フォーカス、オーバーレイ)を定義する。通常、その範囲内で、リソグラフィプロセスまたはパターニングプロセスのプロセスパラメータが変更を許可される。
コンピュータシステムCLは、パターン化される設計レイアウト(の一部)を使用して、使用する解像度向上技術を予測し、計算リソグラフィシミュレーションおよび計算を実行して、どのマスクレイアウトおよびリソグラフィ装置設定が最大の全体的なプロセスウィンドウを達成するかを決定することができる(図16では、第1スケールSC1において二重矢印で示されている)。典型的には、解像度向上技術は、リソグラフィ装置LAのパターニングの可能性に一致するように配置されている。コンピュータシステムCLを使用して、プロセスウィンドウ内のリソグラフィ装置LAが現在動作している場所を検出して(例えば、計測ツールMTからの入力を使用して)、例えば、次善の処理によって欠陥が存在する可能性があるかどうかを予測することもできる(図16では、第2スケールSC2において「0」を指す矢印で示されている)。
計測ツールMTは、正確なシミュレーションおよび予測を可能にするためにコンピュータシステムCLに入力を提供し、そして、例えばリソグラフィ装置LAのキャリブレーション状態における可能性のあるドリフトを識別するためにリソグラフィ装置LAにフィードバックを提供し得る(図16では、第3スケールSC3の複数の矢印で示されている)。
本発明のさらなる実施形態は、後続の番号が付けられた節で提供される。
1.中空体であって、当該中空体を通る通路を規定する内面を有する中空体と、放射を反射するように構成された少なくとも1つの光学面部を有する前記内面と、支持体面部とを備えるリフレクタであって、前記光学面部は所定の屈折力を有し、前記支持体面部は所定の屈折力を有していない、リフレクタ。
2.前記光学面部が第1の粗さを有し、前記支持体面部が第2の粗さを有し、前記第1の粗さが前記第2の粗さよりも小さい、節1に記載のリフレクタ。
3.前記第1の粗さは100pm二乗平均平方根(RMS)未満、任意選択で50pmRMS未満、任意選択で35pmRMS未満である、節2に記載のリフレクタ。
4.前記光学面部は、前記通路の軸に垂直な平面において第1の曲率半径を有し、前記支持体面部は、前記平面において第2の曲率半径を有し、前記第1の曲率半径の絶対値は、前記第2の曲率半径よりも大きい、節1,2または3に記載のリフレクタ。
5.前記平面内の前記支持体面部の断面は、前記軸において180°を超える角度に存在している、節4に記載のリフレクタ。
6.前記通路の断面は、その一端から他端に向かって単調に増加する、節1から5のいずれかに記載のリフレクタ。
7.前記光学面部に提供される反射増強層をさらに備える、節1から6のいずれかに記載のリフレクタ。
8.前記中空体は、ニッケル、樹脂、ガラス、および熱可塑性ポリマーからなる群から選択される材料で形成されたシェルを含む、節1から7のいずれかに記載のリフレクタ。
9.前記シェルの外側に補強材をさらに含む、節8に記載のリフレクタ。
10.前記光学面部は、1nmから50nmの範囲の波長でかすめ入射で70%を超える反射率、任意選択で80%を超える反射率を有する、節1から9のいずれかに記載のリフレクタ。
11.節1から10のいずれかに記載の2つの前記リフレクタを備える光学ユニットであって、前記2つのリフレクタは、一方のリフレクタが他方に光を反射し、前記2つのリフレクタの子午面が垂直になるように配置されている、光学ユニット。
12.節1から10のいずれかに記載のリフレクタまたは節11に記載の光学ユニットを含む光学システムを備える検査装置。
13.リフレクタを製造する方法であって、
軸対称のマンドレルを提供することと、
前記マンドレルの周面の一部を成形して、前記マンドレルの軸に対して回転対称ではない少なくとも1つの逆光学面部を形成することと、
前記マンドレルの周りにリフレクタ体を形成することと、
前記リフレクタ体を前記マンドレルから剥離することであって、それにより前記リフレクタ体は、前記逆光学面部によって規定される光学面と、前記マンドレルの残りの外面によって規定される支持体面部とを有する、ことと、
を備える方法。
14.前記マンドレルの外面の一部を成形することは、磁気レオロジー流体仕上げ(MRF)、流体ジェット研磨(FJP)、および弾性発光加工(EEM)、イオンビーム加工およびフロート研磨からなる群から選択されるプロセスを使用する超研磨工程を含む、節13に記載の方法。
15.前記逆光学面部が100pm二乗平均平方根(RMS)未満、任意選択で50pmRMS未満、任意選択で35pmRMS未満の表面粗さを有するように前記超研磨工程が実施される、節14に記載の方法。
16.前記リフレクタ体の光学面部に反射増強の単層または多層コーティングを適用することをさらに含む、節13、14、または15に記載の方法。
17.成形後および成形前に、電極および剥離層を前記マンドレルに適用することをさらに含む、節13から16のいずれかに記載の方法。
18.同じマンドレルを使用して複数のリフレクタ体を形成するための形成および剥離工程をさらに含む、節13から17のいずれかに記載の方法。
19.剥離の前または後に、リフレクタ体の外側に補強材を適用することをさらに含む、節13から18のいずれかに記載の方法。
20.前記リフレクタ体を形成することは、金属の電着を含む、節14から19のいずれかに記載の方法。
21.リフレクタを製造するためのマンドレルであって、マンドレルの外面は、軸対称形状に従う第1面部と、軸対称形状内にあり、所定の屈折力を有する光学面に対応する逆光学面部とを有する、マンドレル。
本明細書では、ICの製造における計測装置の使用に特定の言及がなされ得るが、本明細書に記載される装置は、他の用途を有し得ることを理解するべきである。他の可能な用途には、統合光学システム、磁区メモリ、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどのガイダンスと検出パターンの製造が含まれる。
本明細書では、「計量装置」または「検査装置」に特定の言及がなされているが、両方の用語は、検査装置または検査システムを指すこともある。例えば、本発明の実施形態を含む検査または計測装置を使用して、基板またはウェハ上の構造の特性を決定することができる。例えば、本発明の実施形態を含む検査装置または計測装置を使用して、基板の欠陥、または基板またはウェハ上の構造の欠陥を検出することができる。そのような実施形態では、基板上の構造の関心のある特性は、構造の欠陥、構造の特定の部分の欠如、または基板またはウェハ上の望ましくない構造の存在に関係し得る。
本明細書では、計測装置との関連で本発明の実施形態を具体的に参照することができるが、本発明の実施形態は、他の装置で使用することができる。本発明の実施形態は、マスク検査装置、リソグラフィ装置、またはウェハ(または他の基板)またはマスク(または他のパターニングデバイス)などの物体を測定または処理する任意の装置の一部を形成することができる。これらの装置は、一般にリソグラフィツールと呼ばれることがある。そのようなリソグラフィツールは、真空条件または周囲(非真空)条件を使用することができる。
上記では、光学リソグラフィの文脈での本発明の実施形態の使用について具体的に言及してきたが、文脈が許せば、本発明は光学リソグラフィに限定されず、その他のアプリケーション、たとえばインプリントリソグラフィでも使用することができる。
上記の説明は、HHG源の使用に言及している。HHGは、高次高調波発生を指しており、これは、高次高調波発生とも呼ばれる。HHGは、ターゲット、たとえばガス、プラズマ、または固体サンプルが強力なレーザパルスで照射される非線形プロセスである。続いて、ターゲットは、レーザパルスの放射の周波数の倍数である周波数の放射を放出することができる。このような周波数、つまり倍数は、レーザパルスの放射の高調波と呼ばれる。5次高調波を超える高調波は高次高調波と呼ばれ、それらを含む放射はHHG放射と呼ばれる。HHG放射を生成する物理的プロセスは、低次高調波(通常は2次から5次高調波)の放射を生成することに関連する物理的プロセスとは異なります。低次高調波の放射の生成は、摂動論に関連している。ターゲット内の原子の(結合した)電子の軌道は、ホストイオンのクーロンポテンシャルによって実質的に決定される。HHGでは、HHGプロセスに寄与する電子の軌道は、入射するレーザ光の電界によって実質的に決定される。HHGを説明するいわゆる「3ステップモデル」では、電子はクーロン障壁を通り抜け、その瞬間にレーザ場によって実質的に抑制され(ステップ1)、レーザ場によって決定された軌道をたどり(ステップ2)、運動エネルギーとイオン化エネルギーを放射の形で放出しながら、一定の確率で再結合する(ステップ3)。HHGと低次高調波の放射の生成との違いの別の定義は、ターゲット原子のイオン化エネルギーを超える光子エネルギーを持つすべての放射が「高次高調波」放射、例えばHHG生成放射であり、光子エネルギーがイオン化エネルギーを下回るすべての放射は、非HHG生成放射であるということである。ネオンをガスターゲットとして使用する場合、62nmより短い波長(20.18eVより高い光子エネルギーを持つ)のすべての放射は、HHGプロセスによって生成される。ガスターゲットとしてのアルゴンの場合、約15.8eVを超える光子エネルギーを持つすべての放射がHHGプロセスによって生成される。
本発明の特定の実施形態が上記で説明されたが、本発明は、説明された以外の方法で実施されてもよいことが理解されよう。上記の説明は、限定ではなく例示を意図したものである。したがって、以下に記載される特許請求の範囲から逸脱することなく、記載された本発明に変更を加えることができることが当業者には明らかであろう。

Claims (14)

  1. 中央通路を規定する内面と、放射が伝播することができる前記中央通路に沿った長手方向軸とを有する中空体を備えるリフレクタであって、
    前記内面は、
    前記放射を反射するように構成された光学面部であって、前記長手方向軸に沿って配置された2つの側縁を含む光学面部と、
    前記2つの側縁に沿って前記光学面部を支持するように構成された支持体面部であって、前記長手方向軸に垂直な中空断面を有する支持体面部と、
    を有し、
    前記光学面部は所定の屈折力を有し、前記支持体面部は所定の屈折力を有しておらず、
    前記光学面部は、前記長手方向軸に垂直な平面において第1の曲率半径を有し、前記支持体面部は、前記平面において第2の曲率半径を有し、前記第1の曲率半径の絶対値は、前記第2の曲率半径よりも大きい、リフレクタ。
  2. 前記光学面部が第1の粗さを有し、前記支持体面部が第2の粗さを有し、前記第1の粗さが前記第2の粗さよりも小さく、
    前記第1の粗さは100pm二乗平均平方根(RMS)未満、任意選択で50pmRMS未満、任意選択で35pmRMS未満である、請求項1に記載のリフレクタ。
  3. 前記平面内の前記支持体面部の断面は、半円を超える弧状である、請求項1または2に記載のリフレクタ。
  4. 前記中央通路における前記長手方向軸に垂直な断面は、前記中空体の前記長手方向軸の一端から他端に向かって単調に増加する、請求項1から3のいずれかに記載のリフレクタ。
  5. 前記光学面部に提供される反射増強層をさらに備える、請求項1から4のいずれかに記載のリフレクタ。
  6. 前記中空体は、ニッケル、樹脂、ガラス、および熱可塑性ポリマーからなる群から選択される材料で形成されたシェルを含み、
    任意選択で、前記シェルの外側に補強材をさらに含む、請求項1から5のいずれかに記載のリフレクタ。
  7. 前記光学面部は、1nmから50nmの範囲の波長でかすめ入射で70%を超える反射率、任意選択で80%を超える反射率を有する、請求項1から6のいずれかに記載のリフレクタ。
  8. 請求項1から7のいずれかに記載の2つの前記リフレクタを備える光学ユニットであって、前記2つのリフレクタは、一方のリフレクタが他方に光を反射し、前記2つのリフレクタの子午面が垂直になるように配置されている、光学ユニット。
  9. 請求項1から7のいずれかに記載のリフレクタまたは請求項に記載の光学ユニットを含む光学システムを備える検査装置。
  10. リフレクタを製造する方法であって、
    軸対称のマンドレルを提供することと、
    前記マンドレルの周面の一部を成形して、前記マンドレルの軸に対して回転対称ではない少なくとも1つの逆光学面部を形成することと、
    前記マンドレルの周りにリフレクタ体を形成することと、
    前記リフレクタ体を前記マンドレルから剥離することであって、それにより前記リフレクタ体は、前記逆光学面部によって規定される光学面と、前記マンドレルの残りの外面によって規定される支持体面部とを有する、ことと、
    を備える方法。
  11. 前記マンドレルの外面の一部を成形することは、磁気レオロジー流体仕上げ(MRF)、流体ジェット研磨(FJP)、および弾性発光加工(EEM)、イオンビーム加工およびフロート研磨からなる群から選択されるプロセスを使用する超研磨工程を含む、請求項10に記載の方法。
  12. 前記逆光学面部が100pm二乗平均平方根(RMS)未満、任意選択で50pmRMS未満、任意選択で35pmRMS未満の表面粗さを有するように超研磨工程が実施される、請求項10に記載の方法。
  13. 前記リフレクタ体の光学面部に反射増強の単層または多層コーティングを適用することをさらに含む、請求項10、11、または12に記載の方法。
  14. 成形後および成形前に、電極および剥離層を前記マンドレルに適用することをさらに含む、請求項10から13のいずれかに記載の方法。
JP2020569802A 2018-06-15 2019-05-23 リフレクタおよびリフレクタの製造方法 Active JP7286683B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18178127.9 2018-06-15
EP18178127.9A EP3582009A1 (en) 2018-06-15 2018-06-15 Reflector and method of manufacturing a reflector
PCT/EP2019/063352 WO2019238382A1 (en) 2018-06-15 2019-05-23 Reflector and method of manufacturing a reflector

Publications (2)

Publication Number Publication Date
JP2021527218A JP2021527218A (ja) 2021-10-11
JP7286683B2 true JP7286683B2 (ja) 2023-06-05

Family

ID=62705440

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569802A Active JP7286683B2 (ja) 2018-06-15 2019-05-23 リフレクタおよびリフレクタの製造方法

Country Status (8)

Country Link
US (2) US11145428B2 (ja)
EP (2) EP3582009A1 (ja)
JP (1) JP7286683B2 (ja)
KR (1) KR102579721B1 (ja)
CN (1) CN112292639B (ja)
IL (1) IL279369A (ja)
TW (1) TWI742384B (ja)
WO (1) WO2019238382A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
US11680909B2 (en) * 2020-05-14 2023-06-20 The Boeing Company Automated inspection of foreign materials, cracks and other surface anomalies

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005234573A (ja) 2004-02-16 2005-09-02 Organisation Intergouvernementale Dite Agence Spatiale Europeenne 光学反射素子、その製造方法、及びその素子を具備する光学機器
US20060071354A1 (en) 2004-09-30 2006-04-06 The Regents Of The University Of California Thermal casting of polymers in centrifuge for producing X-ray optics
JP2009267407A (ja) 2008-04-29 2009-11-12 Asml Netherlands Bv 放射源
JP2011238904A (ja) 2010-04-16 2011-11-24 Media Lario S.R.L. Euvミラーモジュール
JP2017037002A (ja) 2015-08-10 2017-02-16 国立大学法人 東京大学 高na集光素子の出口波面計測方法及び出口波面計測システム

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872349A (en) * 1973-03-29 1975-03-18 Fusion Systems Corp Apparatus and method for generating radiation
US5001737A (en) * 1988-10-24 1991-03-19 Aaron Lewis Focusing and guiding X-rays with tapered capillaries
WO1996002058A1 (fr) * 1994-07-08 1996-01-25 Muradin Abubekirovich Kumakhov Procede de guidage de faisceaux de particules neutres et chargees et son dispositif de mise en ×uvre
US5741445A (en) * 1996-02-06 1998-04-21 Cvd, Incorporated Method of making lightweight closed-back mirror
US6859328B2 (en) * 1998-05-05 2005-02-22 Carl Zeiss Semiconductor Illumination system particularly for microlithography
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6278764B1 (en) * 1999-07-22 2001-08-21 The Regents Of The Unviersity Of California High efficiency replicated x-ray optics and fabrication method
EP1152555A1 (en) * 2000-05-03 2001-11-07 Media Lario S.r.L. Telescope mirror for high bandwidth free space optical data transmission
US6847700B1 (en) * 2001-01-19 2005-01-25 Florida Institute Of Technology Method and apparatus for delivery of x-ray irradiation
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP2007536552A (ja) * 2004-05-10 2007-12-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 光学精密測定装置及び方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080099935A1 (en) * 2004-11-09 2008-05-01 Wilhelm Egle High-Precision Optical Surface Prepared by Sagging from a Masterpiece
WO2006061753A1 (en) 2004-12-09 2006-06-15 Koninklijke Philips Electronics N.V. Illumination system
SG126120A1 (en) 2005-03-29 2006-10-30 Asml Netherlands Bv Lithographic device, device manufacturing method and device manufactured thereby
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
ATE528692T1 (de) * 2006-07-28 2011-10-15 Media Lario Srl Optische multireflexionssysteme und ihre herstellung
JP4888046B2 (ja) * 2006-10-26 2012-02-29 ウシオ電機株式会社 極端紫外光光源装置
TWM311850U (en) * 2006-11-30 2007-05-11 Moduled Inc Light-reflecting holder
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
SG152187A1 (en) 2007-10-25 2009-05-29 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009156225A1 (en) 2008-06-26 2009-12-30 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
JP5584689B2 (ja) 2008-10-06 2014-09-03 エーエスエムエル ネザーランズ ビー.ブイ. 2次元ターゲットを用いたリソグラフィの焦点及びドーズ測定
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
JP2013021293A (ja) * 2011-03-29 2013-01-31 Gigaphoton Inc レーザ装置、レーザシステムおよび極端紫外光生成装置
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
WO2013106365A1 (en) * 2012-01-11 2013-07-18 3M Innovative Properties Company Hollow light duct bend
JP6148258B2 (ja) * 2012-02-17 2017-06-14 スリーエム イノベイティブ プロパティズ カンパニー アナモルフィックライトガイド
KR101948141B1 (ko) * 2012-02-23 2019-02-14 엘지이노텍 주식회사 백라이트 유닛 및 그를 이용한 조명 시스템
US8735844B1 (en) * 2012-03-26 2014-05-27 Massachusetts Institute Of Technology Compact neutron imaging system using axisymmetric mirrors
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
KR102294349B1 (ko) 2014-11-26 2021-08-26 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
CN113376975A (zh) 2015-12-23 2021-09-10 Asml荷兰有限公司 量测方法、量测设备、器件制造方法和计算机程序产品
US10890849B2 (en) * 2016-05-19 2021-01-12 Nikon Corporation EUV lithography system for dense line patterning
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005234573A (ja) 2004-02-16 2005-09-02 Organisation Intergouvernementale Dite Agence Spatiale Europeenne 光学反射素子、その製造方法、及びその素子を具備する光学機器
US20060071354A1 (en) 2004-09-30 2006-04-06 The Regents Of The University Of California Thermal casting of polymers in centrifuge for producing X-ray optics
JP2009267407A (ja) 2008-04-29 2009-11-12 Asml Netherlands Bv 放射源
JP2011238904A (ja) 2010-04-16 2011-11-24 Media Lario S.R.L. Euvミラーモジュール
JP2017037002A (ja) 2015-08-10 2017-02-16 国立大学法人 東京大学 高na集光素子の出口波面計測方法及び出口波面計測システム

Also Published As

Publication number Publication date
US20190385760A1 (en) 2019-12-19
CN112292639B (zh) 2023-11-28
JP2021527218A (ja) 2021-10-11
EP3582009A1 (en) 2019-12-18
EP3807718A1 (en) 2021-04-21
KR20210010906A (ko) 2021-01-28
IL279369A (en) 2021-01-31
US11694821B2 (en) 2023-07-04
CN112292639A (zh) 2021-01-29
TWI742384B (zh) 2021-10-11
US11145428B2 (en) 2021-10-12
US20210383940A1 (en) 2021-12-09
WO2019238382A1 (en) 2019-12-19
KR102579721B1 (ko) 2023-09-15
TW202013393A (zh) 2020-04-01

Similar Documents

Publication Publication Date Title
TWI739103B (zh) 照明源裝置、其檢測方法、微影裝置及度量衡裝置
JP2017530394A (ja) 高開口数対物レンズシステム
US11129266B2 (en) Optical system, metrology apparatus and associated method
US11694821B2 (en) Reflector and method of manufacturing a reflector
US20230221659A1 (en) Assembly for collimating broadband radiation
JP7330279B2 (ja) リフレクタ製造方法及び関連するリフレクタ
EP3792673A1 (en) Assembly for collimating broadband radiation
NL2022635A (en) Reflector manufacturing method and associated reflector
NL2021670A (en) Optical system, metrology apparatus and associated method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220405

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230524

R150 Certificate of patent or registration of utility model

Ref document number: 7286683

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150