KR20210010906A - 리플렉터 및 리플렉터 제조 방법 - Google Patents

리플렉터 및 리플렉터 제조 방법 Download PDF

Info

Publication number
KR20210010906A
KR20210010906A KR1020207036085A KR20207036085A KR20210010906A KR 20210010906 A KR20210010906 A KR 20210010906A KR 1020207036085 A KR1020207036085 A KR 1020207036085A KR 20207036085 A KR20207036085 A KR 20207036085A KR 20210010906 A KR20210010906 A KR 20210010906A
Authority
KR
South Korea
Prior art keywords
reflector
surface portion
mandrel
optical surface
optical
Prior art date
Application number
KR1020207036085A
Other languages
English (en)
Other versions
KR102579721B1 (ko
Inventor
페리 지이프
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20210010906A publication Critical patent/KR20210010906A/ko
Application granted granted Critical
Publication of KR102579721B1 publication Critical patent/KR102579721B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/08Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods for polishing surfaces, e.g. smoothing a surface by making use of liquid-borne abrasives
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/067Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators using surface reflection, e.g. grazing incidence mirrors, gratings
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Mechanical Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

리플렉터는 내부를 통과하는 통로가 형성된 내부 표면을 갖는 중공형 몸체를 포함하되, 내부 표면은 방사선을 반사하도록 구성된 적어도 하나의 광학 표면부 및 지지체 표면부를 가지고, 광학 표면부는 사전 결정된 굴절력을 가지며, 지지체 표면부는 사전 결정된 굴절력을 갖지 않는다. 리플렉터는 축 방향으로 대칭적인 맨드렐을 제공하는 것; 맨드렐의 원주 표면의 일부를 성형하여 맨드렐의 축을 중심으로 회전적으로 대칭이 아닌 적어도 하나의 역 광학 표면부를 형성하는 것; 맨드렐 주위에 리플렉터 몸체를 형성하는 것; 및 리플렉터 몸체를 맨드렐로부터 해제시키는 것에 의하여 제조되며, 그에 의하여 리플렉터 몸체는 역 광학 표면부에 의해 규정된 광학 표면 및 맨드렐의 나머지 외부 표면에 의해 규정된 지지체 표면부를 갖는다.

Description

리플렉터 및 리플렉터 제조 방법
관련 출원에 대한 상호 참조
본 출원은 2018년 6월 15일에 출원된 EP 출원 18178127.9의 우선권을 주장하며, 이는 본 명세서에서 그 전문이 인용 참조된다.
본 발명은 리플렉터 및 리플렉터, 특히 경질 X-선, 연질 X-선, EUV 방사선 및/또는 중성자용 경사 입사 및 그레이징(grazing) 입사 리플렉터를 제조하는 방법에 관한 것이다.
디바이스, 예를 들어 더 작은 피처를 가진 집적 회로를 제조하는 것이 지속적으로 요구되고 있다. 집적 회로 및 기타 미세규모(microscale)의 디바이스는 종종 광학 리소그래피를 사용하여 제조되지만, 임프린트 리소그래피, e-빔 리소그래피 및 나노-스케일 자체 조립과 같은 다른 제조 기술이 알려져 있다. 그러나 디바이스는 제조되며, 흔히 이를 검사할 필요가 있다. 제조 공정에 사용되는, 마스크 또는 레티클과 같은 툴 또는 구성 요소를 또한 검사할 필요가 있을 수 있다. 더 작은 크기의 피처를 위하여, 더 짧은 파장의 방사선이 사용되어야 한다. 따라서, 예를 들어 EUV 또는 연질 X-선 (SXR) 범위 내의 매우 짧은 파장 방사선을 사용하는 검사 툴이 바람직하다.
이러한 파장을 위한 굴절 광학 요소를 구성하는 것이 가능하지 않기 때문에 EUV 및 SXR 범위 내의 방사선을 지향시키거나 집속하기 어렵다. EUV 및 연질 X-선의 지향과 집속은 리플렉터, 흔히 그레이징 입사 리플렉터를 사용하여 수행되어야 한다. EU 및 연질 X-선 방사선의 매우 짧은 파장 때문에, 낮은 파면 수차를 갖는 광, 그리고, 표면 거칠기로 인한 표면 산란으로부터의 플레어(flare)를 집속하기 위하여 이러한 방사선의 리플렉터의 형상 오차(figure error) 및 표면 거칠기 요구 조건은 매우 엄격하다. 따라서, EUV 및/또는 연질 X-선을 위한 리플렉터의 제조는 어렵고 비용이 많이 들며 및/또는 시간 소모적이다.
제조하기 용이하며 낮은 형상 오차(figure error) 및/또는 작은 표면 거치기를 갖는, 경질 X-선, 연질 X-선, EUV 방사선 및/또는 중성자를 위한 리플렉터가 바람직하다.
엄격한 형상 오차 및/또는 표면 거칠기 한계를 달성할 수 있으며 더 빠르고 및/또는 더 저렴한, 경질 X-선, 연질 X-선, EUV 방사선 및/또는 중성자를 위한 리플렉터를 제조하는 방법 또한 바람직하다.
본 발명에 따르면, 내부를 통과하는 통로가 형성된 내부 표면을 갖는 중공형 몸체를 포함하는 리플렉터가 제공되며, 상기 내부 표면은 방사선을 반사하도록 구성된 적어도 하나의 광학 표면부 및 지지체 표면부를 가지며, 여기서 광학 표면부는 사전 결정된 굴절력(optical power)을 갖고, 지지체 표면부는 사전 결정된 굴절력을 갖지 않는다.
본 발명에 따르면, 리플렉터를 제조하는 방법이 제공되며, 본 방법은;
축 방향으로 대칭적인 맨드렐을 제공하는 것;
맨드렐의 원주 표면의 일부를 성형하여 맨드렐의 축을 중심으로 회전적으로 대칭이 아닌 적어도 하나의 역 광학 표면부를 형성하는 것;
맨드렐 주위에 리플렉터 몸체를 형성하는 것; 및
리플렉터 몸체를 맨드렐로부터 해제시키는 것을 포함하여,
그에 의하여 리플렉터 몸체는 역 광학 표면부에 의해 규정된 광학 표면 및 맨드렐의 나머지 외부 표면에 의해 규정된 지지체 표면부를 갖는다.
본 발명에 따르면, 리플렉터를 제조하기 위한 맨드렐이 제공되며, 이 맨드렐의 외부 표면은 축 방향으로 대칭적인 형상(figure)에 일치하는 제1 표면부 및 축 방향으로 대칭적인 형상 내에 있으며 사전 결정된 굴절력을 갖는 광학 표면에 대응하는 역 광학 표면부를 갖는다.
첨부된 개략적인 도면을 참조하여 본 발명의 실시예가 단지 예로서 설명될 것이며, 도면에서:
도 1은 계측 장치의 개략적인 개요를 도시하고 있다.
도 2는 커크패트릭-바에즈(Kirkpatrick-Baez) 미러 쌍의 개략적인 도면이다.
도 3은 리플렉터의 자오면 및 시상면을 도시하고 있다.
도 4는 본 발명에 따른 방법의 시작점으로서의 축 대칭 맨드렐을 도시하고 있다.
도 5는 도 4에서의 맨드렐 상에 형성된 역 광학 표면을 도시하고 있다.
도 6은 이형 층으로 맨드렐을 코팅하는 것을 도시하고 있다.
도 7은 맨드렐 상에 증착된 쉘을 도시하고 있다.
도 8은 맨드렐로부터 쉘을 제거하는 것을 도시하고 있다.
도 9는 반사층으로 코팅된 쉘의 내부를 도시하고 있다.
도 10은 쉘의 외부에 제공된 보강부를 도시하고 있다.
도 11은 보강부 내에 위치되어 있는 쉘을 도시하고 있다.
도 12는 대안적인 형태의 보강부 내에 위치되어 있는 쉘을 도시하고 있다.
도 13은 커크패트릭-바에즈 미러 쌍을 형성하는, 본 발명에 따라 제조된 2개의 리플렉터를 도시하고 있다.
도 14는 리소그래피 장치를 도시하고 있다.
도 15는 리소셀을 도시하고 있다.
도 16은 전체적인 리소그래피 방법을 도시하고 있다.
도 1은 0.1 ㎚ 내지 100 ㎚의 파장 범위 내의 방사선이 기판 상의 구조체의 매개변수를 측정하기 위해 사용될 수 있는 계측 장치(302)의 개략적 표현을 도시하고 있다. 도 1에 제시된 계측 장치(302)는 연질 X-선 또는 EUV 도메인(domain)에 적합하다.
도 1은 순전히 예로서, EUV 및/또는 SXR 방사선을 사용하는 분광 스캐터로미터를 포함하는 계측 장치(302)의 개략적인 물리적 배치를 도시하고 있다. 대안적인 형태의 검사 장치는 각도-분해 스캐터로미터 형태로 제공될 수 있다.
검사 장치(302)는 방사선 소스(310), 조명 시스템(312), 기판 지지체(316), 검출 시스템(318, 398) 및 계측 처리 유닛(MPU) (320)을 포함하고 있다.
이 예에서의 소스(310)는 고조파 생성(high harmonic generation: HHG) 기술을 기반으로 하는 EUV 또는 연질 X-선 방사선의 발생기를 포함하고 있다. HHG 소스의 대안은 방전 생성 플라즈마(DPP) 소스, 자유 전자 레이저(FEL) 소스, 역 콤프톤 산란(Inverse Compton Scattering) (ICS) 소스 또는 소형 싱크로트론(compact synchrotron)이다. HHG 소스는, 예를 들어 미국 콜로라도 보울더의 KMLab (http://www.kmlabs.com/)에서 구입될 수 있다. 방사선 소스의 주요 구성 요소는 구동 레이저(330) 및 HHG 가스 셀(332)이다. 가스 공급부(334)는 적절한 가스를 가스 셀에 공급하며, 가스 셀에서 가스는 전기 소스(336)에 의해 선택적으로 이온화된다. 구동 레이저(300)는, 예를 들어 광증폭기를 갖는 광섬유 기반 레이저일 수 있으며, 예를 들어 펄스 당 1 ㎱(1 나노초) 미만으로 지속될 수 있는, 필요에 따라 최대 수 메가헤르츠의 펄스 반복률을 갖는 적외선 펄스를 생성한다. 적외 방사선의 파장은, 예를 들어 1 ㎛ (1 미크론)의 영역 내에 있을 수 있다. 레이저 펄스는 제1 방사선 빔(340)으로서 HHG 가스 셀(332)에 전달되며, 여기서 가스 내에서 방사선의 일부분은 제1 방사선보다 높은 주파수로 변환되어 원하는 파장 또는 파장들의 가간섭(coherent) 제2 방사선을 포함하는 빔(342)을 형성한다.
제2 방사선은 다중 파장을 포함할 수 있다. 방사선이 단색이면, 그러면 측정 계산 (예를 들어, 관심 대상 구조체의 재구성)이 단순화될 수 있다. 가스 셀(332) 내에서의 가스의 체적은 HHG 공간을 규정하지만, 공간은 완전히 밀폐될 필요는 없으며 정적 체적 대신에 가스의 흐름이 사용될 수 있다. 가스는, 예를 들어 네온(Ne) 또는 아르곤(Ar)과 같은 불활성 가스(noble gas)일 수 있다. N2, O2, He, Ar, Kr, Xe 가스 또는 그의 혼합물 또한 사용될 수 있다. 이는 디자인 선택의 문제이며 또한 동일 장치 내에서 선택 가능한 옵션일 수도 있다. 예를 들어 상이한 파장들은 서로 다른 물질의 구조체를 이미지화할 때 상이한 수준의 대비(contrast)를 제공할 것이다. 예를 들어, 금속 구조체 또는 실리콘 구조체의 검사를 위해서는, (탄소 기반) 레지스트의 피처를 이미지화하기 위하여 또는 이러한 상이한 물질들의 오염을 검출하기 위하여 사용되는 파장과 상이한 파장이 선택할 수 있다. 하나 이상의 필터링 디바이스(344)가 제공될 수 있다. 예를 들어, 알루미늄(Al)의 얇은 멤브레인과 같은 필터는 기본 IR 방사선이 검사 장치로 더 통과하지 못하도록 차단하는 역할을 할 수 있다. 가스 셀에서 생성된 것 중에서 하나 이상의 특정 고조파 파장을 선택하기 위해 격자 (보이지 않음)가 제공될 수 있다. 빔 경로의 일부 또는 전부는 진공 환경 내에 포함될 수 있으며, SXR 방사선은 공기 중에서 이동할 때 흡수된다는 점을 유념해야 한다. 방사선 소스(310) 및 조명 광학계(312)의 다양한 구성 요소는 동일한 장치 내에서 상이한 계측 "레시피"를 구현하도록 조정 가능할 수 있다. 예를 들어, 상이한 파장 및/또는 편광이 선택 가능하게 만들어질 수 있다.
검사 중인 구조체의 물질에 따라, 상이한 파장들은 하부 층으로의 원하는 수준의 침투를 제공할 수 있다. 가장 작은 디바이스 피처 및 가장 작은 디바이스 피처들 중의 결함을 해결하기 위하여, 그러면 짧은 파장이 바람직할 것이다. 예를 들어, 1 내지 20 ㎚ 범위 내의 또는 선택적으로 1 내지 10 ㎚ 범위 내의 또는 선택적으로 10 내지 20 ㎚ 범위의 내의 하나 이상의 파장이 선택될 수 있다. 5 ㎚보다 짧은 파장은 반도체 제조에서의 전형적인 관심 대상 물질에서 반사될 때 매우 낮은 임계각을 겪을 수 있다. 따라서 5 ㎚보다 큰 파장을 선택하는 것은 더 큰 입사각에서 더 강한 신호를 제공할 것이다. 한편, 검사 작업이 특정 물질의 존재를 감지하기 위한 것, 예를 들어 오염을 검출하기 위한 것이라면, 그러면 최대 50 ㎚의 파장이 유용할 수 있다.
방사선 소스(310)로부터의 필터링된 빔(342)은, 관심 대상 구조체를 포함하는 기판(W)이 기판 지지체(316)에 의하여 측정 위치에서 검사를 위해 유지되는 검사 챔버(350)로 들어간다. 관심 대상 구조체는 T로 표시되어 있다. 검사 챔버(350) 내의 가스 압력은 진공 펌프(352)에 의해 진공에 가깝게 유지되며, 따라서 EUV 방사선은 과도한 감쇠없이 집속 시스템을 통과할 수 있다. 조명 시스템(312)은 방사선을 집속 빔(356)으로 집속시키는 기능을 가지며, 예를 들어 공개된 미국 특허 출원 공개 US2017/0184981A1(이의 내용은 그 전체가 본 명세서에서 인용 참조된다)에 설명된 바와 같이, 2차원 곡면 미러 또는 일련의 1차원 곡면 미러들을 포함할 수 있다. 집속은 관심 대상 구조체 상으로 투영될 때 직경이 약 10 ㎛ 미만인 원형 또는 타원형 스폿(S)을 달성하기 위해 수행된다. 이는 소스를 이미지 평면 상으로 직접 이미징함으로써 또는 소스에 의해 조사되는 작은 개구를 이미지 평면 상으로 이미징함으로써 달성될 수 있다. 기판 지지체(316)는, 예를 들어 X-Y-Z 병진 스테이지(translation stage) 및 회전 스테이지를 포함하고 있으며, 이 스테이지들에 의하여 기판(W)의 임의의 부분은 원하는 배향으로 빔의 초점에 가져가질 수 있다. 따라서 방사선 스폿(S)은 관심 대상 구조체 상에 형성된다. 대안적으로 또는 부가적으로, 기판 지지체(316)는, 예를 들어 관심 대상 구조체(T) 상의 집속된 빔의 입사각을 제어하기 위해 기판(W)을 특정 각도로 기울일 수 있는 틸팅 스테이지(tilting stage)를 포함하고 있다.
선택적으로, 조명 시스템(312)은 필터링된 빔(342) 내의 상이한 파장의 스펙트럼 및/또는 세기를 측정하도록 구성될 수 있는 기준 검출기(314)에 기준 방사선 빔을 제공한다. 기준 검출기(314)는 계측 처리 유닛(320)과 프로세서(310)에 제공되는 신호(315)를 생성하도록 구성될 수 있으며, 필터는 필터링된 빔(342)의 스펙트럼 및/또는 필터링된 빔 내의 상이한 파장의 세기에 대한 정보를 포함할 수 있다.
반사된 방사선(360)은 검출기(318)에 의하여 캡처되며, 스펙트럼(382)은 타겟 구조체(T)의 특성을 계산하는 데 사용하기 위하여 처리 유닛(320)에 제공된다. 따라서 조명 시스템(312) 및 검출 시스템(318)은 검사 장치를 형성한다. 이 검사 장치는 내용 전체가 본 명세서에서 인용 참조되는 US2016/282282A1에서 설명된 종류의 연질 X-선 및/또는 EUV 분광식 반사계(reflectometer)를 포함할 수 있다.
타겟(T)이 특정 주기성을 갖고 있다면, 집속된 빔(356)의 방사선 또한 부분적으로 회절될 수 있다. 회절된 방사선(397)은 반사된 방사선(360)에 이어 입사각에 대해 잘 규정된 각도에서 또 다른 경로를 따른다. 도 1에서, 도시된 회절된 방사선(397)은 개략적인 방식으로 그려지며 회절된 방사선(397)은 그려진 경로보다 많은 다른 경로를 따를 수 있다. 검사 장치(302)는 또한 회절된 방사선(397)의 적어도 일부를 검출 및/또는 이미지화하는 추가 검출 시스템(398)을 포함할 수 있다. 도 1에서, 단일 추가 검출 시스템(398)이 그려져 있지만, 검사 장치(302)의 실시예는 또한 복수의 회절 방향에서 회절된 방사선(397)을 검출 및/또는 이미지화하기 위해 상이한 위치에 배열된 복수의 추가 검출 시스템(398)을 포함할 수 있다. 다시 말해서, 타겟(T)에 충돌하는 집속된 방사선 빔의 (더 높은) 회절 차수가 하나 이상의 추가 검출 시스템(398)에 의해 검출 및/또는 이미지화된다. 하나 이상의 검출 시스템(398)은 계측 처리 유닛(320)에 제공되는 신호(399)를 생성한다. 신호(399)는 회절된 광(397)의 정보를 포함할 수 있으며 및/또는 회절된 광(397)으로부터 획득된 이미지를 포함할 수 있다.
원하는 제품 구조체와의 스폿(S)의 정렬 및 집속을 돕기 위하여, 검사 장치(302)는 또한 계측 프로세서(320)의 제어 하에서 보조 방사선을 이용하는 보조 광학계를 제공할 수 있다. 계측 프로세서(320)는 또한 병진 스테이지, 회전 및/또는 틸팅 스테이지를 작동시키는 위치 컨트롤러(372)와 통신할 수 있다. 프로세서(320)는 기판의 위치 및 배향에 대한 고도로 정확한 피드백을 센서를 통해 수신한다. 센서(374)는, 예를 들어 피코미터(picometers)(pm) 범위 내의 정확도로 기판 지지체(316)의 일부분을 측정할 수 있는 간섭 측정계를 포함할 수 있다. 검사 장치(302)의 작동 중에, 검출 시스템(318)에 의하여 캡처된 스펙트럼 데이터(382)는 계측 처리 유닛(320)으로 전달된다.
검사 장치는 임의의 적절한 입사에서, 예를 들어 수직 입사, 근-수직 입사 또는 그레이징 입사에서 연질 X-선 및/또는 EUV 방사선을 사용하여, 예를 들어 비대칭의 회절 기반 측정을 수행한다. 입사각은 또한 20 내지 40도의 범위 내, 예를 들어 30도일 수 있다. 검사 장치가 하이브리드 계측 시스템에 제공될 수 있다. 측정될 성능 매개변수는 오버레이(OVL), 임계 치수(CD), 비아 콘택트 랜딩(Via Contact Landing)(CD와 OVL의 조합) 및 CD 균일도(CDU)를 포함할 수 있다. 측정 기술은 가간섭 회절 이미징(CDI) 및 분해능에서의 오버레이(at-resolution overlay)(ARO) 계측을 포함할 수 있다. 연질 X-선 및/또는 EUV 방사선은, 예를 들어 5 내지 30 ㎚의 범위 내의, 선택적으로 10 ㎚ 내지 20 ㎚ 범위 내의 방사선을 사용하여, 예를 들어 100 ㎚ 미만의 파장을 가질 수 있다. 방사선은 특성상 협대역 또는 광대역일 수 있다. 방사선은 특정 파장 대역에서 불연속적인 피크를 가질 수 있거나 더 연속적인 특징을 가질 수 있다.
검사 장치(302)가 사용되어 리소 셀 내에서 처리된 레지스트 물질 내의 구조체를 측정할 수 있으며 (현상 후 검사 또는 ADI) 및/또는 더 경질의 물질로 재료로 형성된 후 구조체를 측정할 수 있다 (에치 후 검사 또는 AEI). 예를 들어, 기판은 현상 장치, 에칭 장치, 어닐링 장치 및/또는 박막 증착 및 이온 주입 (도핑) 장비를 포함한 기타 장치에 의해 처리된 후 검사 장치(302)를 사용하여 검사될 수 있다.
플레어(flare)를 최소화하기 위해 높은 수준의 평탄도가 요구되기 때문에 조명 시스템(312)에서 사용되는 리플렉터를 제조하는 것은 어렵고, 비싸고 및/또는 시간 소모적이다. 일루미네이터는 약 2×2㎛2 내지 40×40㎛2 의 치수를 갖는 웨이퍼 상의 계측 타겟에 있는 방사선의 99 % 이상을 집속시킬 수 있는 것이 바람직하다. 이를 달성하기 위해서, 리플렉터의 관련 표면 거칠기(surface roughness)가 100 pm RMS보다 좋고, 선택적으로 50 pm RMS보다 좋고, 가장 선택적으로 35 pm RMS보다 더 좋은 것이 바람직하다. 관련 RMS 표면 거칠기는 측정된 표면 프로파일의 전력 스펙트럼 밀도(power spectral density)(PSD)의 통합에 의하여 획득된다. 표면 프로파일은 표준 SEMI MF1811 "표면 프로파일 데이터로부터 파워 스펙트럼 밀도 기능 및 관련 마감 매개 변수를 추정하기 위한 가이드 (GUIDE FOR ESTIMATING THE POWER SPECTRAL DENSITY FUNCTION AND RELATED FINISH PARAMETERS FROM SURFACE PROFILE DATA")에 따라 측정되며, 이는 또한 측정된 표면 프로파일로부터 PSD를 유도하기 위한 신호 처리를 설명하고 있다. RMS 표면 거칠기는 0과 같은 공간 주파수로부터의 PSD를 관심 대상의 가장 짧은 방사선 파장의 역수와 같은 공간 주파수에 통합함으로써 획득된다. PSD는 흔히 장 추적 프로파일러(Long Trace Profiler), 전체 개구 간섭계, 서브 개구 스티칭 간섭계, 백색광 간섭 현미경(WLI) 및 원자력 현미경(AFM)과 같은, 상이한 분해능과 스캔 길이를 갖는 다수의 기구로부터의 측정 데이터를 조합함으로써 획득된다.
축 대칭 그레이징 입사 (연질) X-선 미러의 제조를 위한 공지된 기술은 전기 증착 복제 기술을 사용하여 얇은 풀-쉘(full-shell) 튜브형 미러를 생성한다. 전기 증착은 근본적으로 맨드렐로부터 표면 거칠기를 복제(copy)한다. 그러나 공지된 방법은 축 대칭 리플렉터만을 만든다.
본 발명의 실시예에서, 조명 시스템(312)은 도 2에 도시된 바와 같은 하나 또는 2개의 커크패트릭-바에즈(Kirkpatrick-Baez) 교차 미러(101, 102)를 포함하고 있다. 이 미러는 자오면 (주광선을 포함하는 평면 및 주광선의 교점에서 수직인 미러 표면)에서 만곡져 있으며, 시상면 (자오면에 수직인 평면)에서 곡률이 거의 또는 전혀 없다. 자오면(MP)과 시상면(SP)이 도 3에 도시되어 있다. 자오면(MP)에서, 미러(101, 102)의 곡률은 흔히 타원의 세그먼트(segment)를 따른다. 시상면의 큰 곡률 반경, 예를 들어 500 ㎜ 이상이 이 미러에 대해 요구되기 때문에, 풀-쉘 튜브형 미러는 비현실적으로 클 것이다.
EUV 또는 연질-X선 검사 장치용 리플렉터를 제조하는 종래의 접근법은 블랭크(blank)를 원하는 형상(figure) 및 평탄도까지 연마하는 것을 포함한다. 이것은 매우 오랜 시간이 걸릴 수 있고 비용이 많이 든다.
본 발명의 실시예는, 복제 비용이 저렴한 초 연마(super polishing) 기술을 사용하여 달성할 수 있는 광학 설계의 자유와 극히 낮은 표면 거칠기의 장점과 콤팩트한 풀-쉘 전기 증착 그레이징 입사 미러의 강성을 조합하는 풀-쉘 복제식 광학 요소를 제조하는 방법을 제공한다.
본 발명에 따른 방법이 도 4 내지 9에 도시되어 있다. 도 4에 도시된 바와 같이, 적합한 물질, 예를 들어 결정질 실리콘, 글라스 또는 글라스-세라믹의 절두 원추형 프리폼(frusto-conical preform)이 제공된다. 프리폼은 엄격하게 원추형일 필요는 없지만, 그의 축을 따라 단조 감소하는 횡단면을 가져야 한다. 프리폼은 볼록부(bulge) 또는 오목부(dip)를 갖고 있지 않다. 프리폼은 다이아몬드 선삭 또는 연삭 및 연마와 같은 기존 기술에 의해 원하는 형상으로 만들어질 수 있다. 프리폼의 표면 거칠기는 본 발명의 기능에 있어서 아주 중요하지 않다. 프리폼은 축 대칭일 필요는 없지만 축 대칭 프리폼을 만드는 것이 더 쉽고 축 대칭은 최종 제품의 강성에 기여할 것이다.
다음으로, 도 5에서 보여지는 바와 같이, 일 측에서 물질을 제거함으로써 역 광학 표면이 프리폼(200) 상에 형성된다. 역 광학 표면은 2 단계 공정으로 형성될 수 있으며, 여기서 일반적인 연삭 기술이 사용되어 원하는 최종 형상에 가까운 형상을 달성하며, 그후 초 연마 기술이 사용되어 (원하는 형상 오차 한계 내의) 최종적으로 원하는 형상 및 거칠기 정도를 달성한다. 역 광학 표면은 선택적으로 100 pm RMS 미만, 선택적으로 50 pm RMS 미만, 선택적으로 35 pm RMS 미만의 거칠기를 갖고 있다. 역 광학 표면의 형상은 제조될 리플렉터의 표면의 역이다. 본 발명에서 사용될 수 있는 적절한 초 연마 기술은 자기 유변 유체 마무리(MRF), 유체 제트 연마(FJP), 탄성적 방출 가공(EEM), 이온 빔 형상화, 및 플로트 연마(Float Polishing)를 포함한다.
역 광학 표면(201)은 궁극적으로 제조된 리플렉터의 자오면이 원래의 프리폼의 축을 포함하도록 배열되어 있다. 따라서, 이 평면에 대해 임의의 원하는 곡률이 쉽게 달성될 수 있다. 최종(end) 리플렉터의 시상면은 광학 프리폼의 축에 수직이며 훨씬 더 큰 곡률 반경을 가질 수 있다. 시상면에서의 리플렉터 횡단면이 약간 오목하도록 최종 리플렉터는 시상면에서 평평할 수 있으며, 심지어 음으로 만곡될 수 있다. 역 광학 표면은 광학 표면에 걸쳐 일정하지 않은 곡률을 가질 수 있다. 즉, 곡률은 평평하거나 원의 세그먼트일 필요는 없지만 이는 또한 원뿔형 횡단면의, 다항식 형태의 또는 본질적으로 자유 형태의 다른 세그먼트일 수 있다.
도 6에서 보여지는 바와 같이, 얇은 층(202)이 약 100 ㎚의 두께로 맨드렐(200)의 전체 외부 표면 상에 코팅되어 있다. 이 층(202)은 전기 증착 공정 중에 전극으로서의 기능을 하며 또한 이형 층(release layer)으로서의 기능을 한다. 적합한 이형 층은 맨드렐을 위하여 그리고 형성될 미러를 위하여 사용되는 물질에 의존한다. 실시예에서 금, 크롬 또는 백금이 전극 및 이형 층으로서 사용될 수 있다. 일부 경우에, 이형 층은 필요하지 않을 수 있거나, 예를 들어 (또한 약 100 ㎚ 두께의) 니켈의 제2 층으로 덮여 응력이 없는 니켈의 더 두꺼운 층의 전기 증착을 용이하게 할 수 있다.
다음으로, 도 7에서 보여지는 바와 같이, 외부 쉘(203)이 이형 층(202)의 상부에서 맨드렐(200) 주위에 형성된다. 쉘은, 예를 들어 전기 증착에 의하여 형성될 수 있다. 실시예에서, 쉘은 니켈 설파메이트(Ni(SC3Na2)2) 배스에 맨드렐을 침지함에 따라 수백 ㎛의 저응력 니켈층을 증착함으로써 형성된다.
쉘을 형성하기 위해 다른 기술이 사용될 수 있다. 예를 들어, 쉘은 맨드렐에 도포되고 알려진 기술을 사용하여 경화되는 수지로 형성될 수 있다. 또 다른 가능성은 글라스 또는 열가소성 폴리머로 쉘을 형성하는 것이다. 이를 달성하기 위해, 맨드렐보다 약간 큰 직경의 가열된 글라스 튜브가 맨드렐 둘레에 배치된다. 내부 압력이 가열된 글라스 튜브를 맨드렐의 형상, 특히 역 광학 표면에 강제로 일치시키도록 글라스 튜브와 맨드렐 사이의 공간은 배기된다. 글라스 쉘은 그후 맨드렐에 의해 규정된 영구적인 형상을 갖도록 냉각된다. 초기 튜브가 글라스 대신 열가소성 폴리머로 만들어지는 유사한 공정이 이어질 수 있다.
풀-쉘(203)이 형성되면, 도 8에 보여지는 바와 같이 맨드렐의 더 작은 종단의 방향으로 풀-쉘을 슬라이딩시킴으로써 풀-쉘은 맨드렐(200)로부터 제거된다. 이는 맨드렐로부터 쉘을 해제시키기 위해 맨드렐의 물질과 쉘의 물질 간의 열팽창 계수의 임의의 차이를 이용하도록 맨드렐 및/또는 쉘을 가열 또는 냉각시킴으로써 도움을 받을 수 있다. 맨드렐의 열팽창 계수가 쉘의 열팽창 계수와 동일하거나 이보다 크다면, 맨드렐을 냉각시키는 동안 쉘을 가열함으로써 해제가 이루어질 수 있다. 열 히스테리시스를 통한 형상의 왜곡을 방지하기 위하여 쉘과 맨드렐의 임의의 가열 또는 냉각이 최소화되는 것이 바람직하다. 이형 층(202)은 또한 이 공정을 도우며, 일부 경우에 이형 층의 잔여물(202a, 202b)은 맨드렐(200)의 외부 표면과 쉘(203)의 내부 표면 모두에 남아있을 수 있다. 임의의 필요한 세정 후, 맨드렐은 다시 사용되어 또 다른 미러를 형성할 수 있다. 따라서, 역 광학 표면을 형성하기 위한 시간 소모적이고 고비용의 초 연마의 단계는 한 번만 수행하면 되지만, 많은 리플렉터는 맨드렐을 사용하여 만들어질 수 있다.
이제 도 9는 맨드렐로부터 분리된 쉘(203)을 도시하고 있다. 그 내부 표면은 광학 표면부(204)와 비광학 표면부(205)의 2개의 부분을 갖고 있다. 광학 표면부(204)는 맨드렐 상에 형성된 역 광학 표면(201)에 의해 규정된 바와 같은 원하는 표면 형상(surface figure)을 갖고 있다. 비광학 표면부(205)는 원래의 프리폼(preform)의 형상을 갖고 있으며, 그 기능은 광학 표면부를 지지하는 것이고, 따라서 이는 지지체 표면부로 지칭될 수 있다. 광학 표면부(204)는 자오선(meridian) 방향으로 사전 결정된 굴절력을 갖는 반면 (즉, 광학 표면부는 반사된 방사선을 수렴, 발산 또는 그렇지 않으면 변경한다), 비광학 표면(205)은 굴절력이 없거나 상이한 굴절력을 가질 수 있다. 실시예에서, 비광학 표면부의 정반사율(specular reflectivity)은 예를 들어 광학 표면부의 정반사율보다 훨씬 작으며, 예를 들어 광학 표면부의 정반사 반사율의 50% 미만이다. 실시예에서 광학 표면부(204)는 오목하다. 볼록한 표면을 만드는 것은 가능하지만, 광학 표면부의 곡률이 큰 경우 쉘이 해제될 수 있는 것을 보장하기 위하여 리폼의 테이퍼의 정도는 증가될 필요가 있을 수도 있다.
쉘(203)은 중앙 통로를 갖는 중공형 몸체이며, 방사선은 중앙 통로를 통하여 전파될 수 있다. 광학 표면부의 시상면 (즉, 통로의 축에 수직)에서의 곡률 반경(제1 곡률 반경으로 지칭됨)은 리플렉터의 원하는 광학 특성에 의해 결정되며 대체로 크며, 심지어 무한하다. 비광학 표면부의 시상면 (즉, 통로의 축에 수직)에서의 곡률 반경(제2 곡률 반경으로 지칭됨)은 쉘의 물리적 특성, 예를 들어 강성 및 크기에 의해 결정되며, 대체로 제1 곡률 반경보다 작다.
원한다면, 광학 표면부(204)는, 예를 들어 금 또는 루테늄으로 이루어진 반사 향상 코팅부를 구비할 수 있다. 이러한 코팅부는 광학 표면부(204)에 또는 쉘의 내부 표면 전체에 선택적으로 도포될 수 있으며, 또한 예를 들어 이것이 선택적인 코팅부보다 쉽다면, 심지어 외부 표면에도 도포될 수 있다. 코팅부 두께는 클 필요는 없으며 따라서 전체 쉘이 코팅될지라도 재료비는 높지 않다. 실시예에서, 리플렉터는 약 70%보다 큰, 선택적으로 약 80%보다 큰 연질 X-선 또는 EUV 범위에서 적어도 하나의 파장에 대하여 그레이징 입사에서의 반사율을 갖고 있다.
(임의의 코팅부가 도포된 후) 광학 표면부(204)는 원하는 낮은 표면 거칠기를 갖는 반면에, 비광학 표면부(205)는 특정 표면 거칠기를 가질 필요가 없으며, 따라서 더 높은 표면 거칠기를 가질 수 있다. 비광학 표면부의 거칠기는 맨드렐로부터의 쉘의 제거를 방해해서는 안된다. 비광학 표면부는 특히 낮은 표면 거칠기를 가질 필요가 없기 때문에, 요구되는 시간 소모적인 그리고 고가의 초 연마의 양은 최소화된다. 예를 들어, 다이아몬드 선삭으로 이루어질 수 있는 거칠기는 비광학 표면을 위하여 충분하다.
(쉘(203)의 축에 수직인) 시상면 내의 광학 표면부(204)의 곡률 반경은 동일한 평면 내의 비광학 표면부(205)의 곡률 반경보다 크다. 실시예에서, 시상면 및/또는 자오면 내에서 광학 표면부의 곡률 반경은 500 ㎚보다 크다. 비광학부는 더 작은 곡률 반경을 갖고 있기 때문에, 이는 미러를 과도하게 크거나 무겁게 만들지 않고도 미러에 강도 그리고 특히 강성을 제공한다.
선택적으로, (쉘의 축에 수직인) 시상면에서의 비광학 표면의 횡단면은 (원래의 프리폼의 축에 대응하는) 비광학 표면부의 축에서 180°보다 큰 각도에 대한다(subtend). 즉, 비광학 표면의 횡단면은 반원보다 큰 원호(arc)이다. 이러한 배치로, 쉘의 비광학 표면부는 강성이며 빔 경로를 위한 충분한 공간을 제공한다.
필요에 따라, 이온빔 스퍼터링 증착에 의한 광학 표면부(204)의 임의의 형상 오차(figure error)를 보정하는 것이 가능하다.
원한다면, 보강부가 풀-쉘 리플렉터에 추가될 수 있다. 2가지 유형의 보강부가 도 10, 도 11 및 도 12에 도시되어 있다. 도 10은 광학 표면의 영역 내에서 쉘의 외부에 제공되고 원주 방향으로 연장되는 리브(206)를 도시하고 있다. 리브(206)는 수지, 금속, 또는 쉘의 물질과 호환되고 적절한 기계적 특성을 갖는 임의의 다른 물질로 형성될 수 있다. 리브는 "원주 방향으로"에 추가하거나 이를 대신하여, 가로질러, 대각선적으로 및/또는 길이 방향으로 배열될 수 있다. 리브는 비광학 표면부의 외부 또는 광학 표면부의 외부에 또는 둘 모두에 제공될 수 있다. 리브의 패턴은 임의의 필요한 강도와 지지부를 제공하도록 쉽게 설계된다.
도 11에서, 축 방향으로 대칭적이고 원래의 프리폼의 외부 형상에 대응하는 홀더(207)에 장착된 풀-쉘 리플렉터를 보여주고 있다. 풀-쉘 리플렉터는 저응력 접착제(208)를 사용하여 홀더(207)에 고정되어 있다. 홀더(207)는 중공형 몸체라기보다는 프레임워크(framework)일 수 있다. 홀더(207)는 위에서 설명된 바와 같이 보강 리브를 가질 수 있다. 풀-쉘 리플렉터가 별도로 제조된 홀더 내로 쉽게 삽입되기 때문에 홀더(207)의 사용은 제조를 단순화할 수 있다. 변형 홀더(207)가 도 12에서 보여지고 있다. 이 예에서, 홀더(207)는 그의 외부 표면의 일부에만 걸쳐 리플렉터(204)와 접촉하고 이를 지지하는 복수의 돌출부(207a)를 갖고 있다.
맨드렐에서 제거하기 전 또는 후에 모든 유형의 보강부가 풀-쉘 리플렉터에 추가될 수 있다. 풀-쉘 리플렉터가 아직 맨드렐 상에 있는 동안 보강부를 부가하는 것은 보강부가 추가되고 보강부가 사용되어 제거 공정 동안 풀-쉘 리플렉터를 결합하고 지지할 수 있는 동안 맨드렐이 광학 표면의 모양을 유지한다는 이점을 갖는다. 다양한 유형의 적층 제조(Additive manufacturing) 기술이 보강부를 추가하는데 유용하다.
도 13은 계측 장치와 같은 검사 장치의 조명 시스템에서 사용하기 위하여 커크패트릭-바에즈 교차 미러 쌍으로서 구성된 2개의 풀-쉘 리플렉터(203a, 203b)를 보여주고 있다. 광학 표면부(204)의 곡률은 비율대로 보여지지 않는다. 광학 표면 및 빔 각도의 요구되는 곡률에 따라, 풀-쉘 리플렉터(203a, 203b)는 다르게 배향될 수 있으며, 예를 들어 둘 다의 좁은 종단들은 인접한다. 리플렉터는 글레이징-입사 리플렉터이기 때문에, 빔 각도는 낮으며 비광학 표면부(205)는 일반적으로 빔 경로를 방해하지 않을 것이다. 그러나 필요한 경우 비광학 표면의 일부는 잘라 내어져 빔을 차단하는 것을 방지하거나 장치의 다른 구성 요소의 간섭을 방지할 수 있다.
비광학 표면부의 지지체가 요구되지 않은 경우, 광학 표면(203)을 비광학 표면부(205)로부터 완전히 분리하여 독립형 미러를 제공할 수 있다. 이러한 미러는 비광학 표면에 의해 제공되는 지지체의 장점을 취하지 않더라도 빠르고 저렴하게 제조할 수 있다는 장점을 유지한다. 비광학 표면부를 제거하는 것이 의도된 경우, 맨드렐은 하나 이상의 역 광학 표면을 갖고 형성되어 동시에 다수의 리플렉터의 제조를 허용할 수 있다.
단일 쉘 내에 하나 이상의 광학 표면을 갖는 것이 또한 가능하고 때로는 바람직하며, 이 경우 하나 이상의 역 광학 표면이 단일 맨드렐 상에 형성된다. 하나 이상의 광학 표면을 갖고 있는 쉘은 광학 요소라기보다는 광학 시스템으로 간주될 수 있다. 이러한 광학계에서, 광은 한번 이상 반사된다. 이러한 광학계의 예는 제1 및 제2 광학 표면이 쉘의 일 측 상에서 축 방향으로 정렬되는 볼터(Wolter) 유형의 광학계이다. 2개의 광학 표면은 이격되거나 인접할 수 있다. 표면 형상(surface figures)에 따라 광학 표면들 사이에 검출 가능한 경계가 있을 수 또는 없을 수 있다. 광학 표면들 사이에 전이 구역(transitional zone)이 있을 수 있다. 2개의 인접한 광학 표면은 더 큰 자유 형태의 표면의 일부로 간주될 수 있다. 또 다른 예에서, 광학 표면들은 쉘의 원주 주위에, 예를 들어 셀의 양 측 상에 배열되어 있다.
실시예에서, 풀-쉘 리플렉터의 광학 표면은 원하는 표면 형상에 더하여 그 표면에 회절 격자를 갖고 있다. 이러한 리플렉터는 초 연마 단계 후에 홀로그래픽 위상 격자가 맨드렐의 역 광학 표면에 형성되는 것을 제외하고 위에서 설명된 것과 동일한 방법으로 제조될 수 있다. 이는 기판 상의 레지스트 층에서 광학 홀로그래피를 수행하고, 레지스트를 현상하고 그리고 맨드렐 내로 반응성 이온 에칭함으로써 이루어질 수 있다. 세정 후, 루테늄 코팅부가 격자 상에 도포될 수 있다.
맨드렐로부터의 풀-쉘의 해제는 격자가 맨드렐에 적용될 때 더 어려울 수 있다. 그러나, (열 팽창을 이용한) 복제 및 해제가 너무 많은 문제없이 가능해야 하도록 유용한 격자는 10 ㎚ 이하의 깊이를 가질 수 있다.
본 발명의 방법은 광범위한 크기의 리플렉터를 제조하기 위해 사용될 수 있다. 본 방법은 광학 표면이 50 ㎜ 내지 500 ㎜, 선택적으로 100 ㎜ 내지 350 ㎜ 범위 내의 길이 (도 9의 a) 및 10 ㎜ 내지 100 ㎜, 선택적으로 30 ㎜ 내지 60 ㎚의 범위 내의 폭 (도 9의 b 또는 c)을 갖는 리플렉터를 제조하기 위해 특히 적합하다. 비광학 표면부의 반경은 10 ㎜ 내지 100 ㎜, 선택적으로 30 ㎜ 내지 50 ㎜의 범위 내에 있을 수 있다. 쉘의 두께는 사용되는 물질에 좌우될 것이다. 전기 도금된 금속 쉘에 대하여, 100 ㎛ 또는 200 ㎛ 이상의 두께가 충분하다.
본 발명에 따른 리플렉터는 각도 분해 스캐터로미터, 분광 스캐터로미터 및 엘립소메트릭 스캐터로미터(ellipsometric scatterometer)를 포함하는 모든 유형의 계측 장치에 사용될 수 있다. 이러한 장치는 오버레이, 초점, 선량, 임계 치수(CD), 임계 치수 균일도(CDU), 측벽 각도(SWA), 등각 층 두께, 비아 콘택트 랜딩 등을 포함하는, 장치 또는 공정의 다양한 매개 변수를 측정하기 위해 사용될 수 있다.
본 발명에 따른 리플렉터는 또한 연질 또는 경질 X-선 또는 중성자 망원경, (예를 들어, 싱크로트론을 이용한) 연질 또는 경질 X-선 또는 중성자 소스 및 연질 또는 경질 X-선 또는 중성자 빔라인(beamlines), 연질 또는 경질 X-선 또는 중성자 회절 장치, 또는 반도체 마스크 검사 툴을 포함하는 매우 다양한 다른 장치에서 사용될 수 있다.
본 발명의 실시예에 따른 리플렉터를 포함하는 광학 시스템을 포함하는 검사 장치는 도 15에 개략적으로 도시되어 있는 리소셀의 일부를 형성할 수 있다. 리소셀은, 예를 들어 도 14에 개략적으로 도시된 바와 같이, 리소그래피 장치, 하나 이상의 기판 처리 디바이스 또는 장치, 및 검사 장치를 포함하는 통합 시스템이다. 리소셀은 도 16에 도시된 바와 같이 엄격한 제어 루프를 이용하는 전체적 리소그래피의 공정을 수행하도록 구성될 수 있다. 리소그래피 장치, 리소셀 및 전체적 리소그래피 공정이 아래에 설명되어 있다.
도 14는 리소그래피 장치(LA)를 개략적으로 도시하고 있다. 리소그래피 장치(LA)는 방사선 빔(B) (예를 들어, UV 방사선, DUV 방사선 또는 EUV 방사선)을 조절하도록 구성된 조명 시스템 (일루미네이터로도 지칭됨)(IL), 패터닝 디바이스 (예를 들어, 마스크)(MA)를 지지하도록 구성되며 특정 매개변수에 따라 패터닝 디바이스(MA)를 정확하게 위치시키도록 구성된 제1 포지셔너(PM)에 연결되어 있는 마스크 지지체 (예를 들어, 마스크 테이블)(MT), 기판 (예를 들어, 레지스트 코팅된 웨이퍼)(W)을 유지하도록 구성되며 특정 매개변수에 따라 기판 지지체를 정확하게 위치시키도록 구성된 제2 포지셔너(PW)에 연결되어 있는 기판 지지체 (예를 들어, 웨이퍼 테이블)(WT), 및 기판(W)의 (예를 들어, 하나 이상의 다이를 포함하는) 타겟 부분(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템 (예를 들어, 굴절형 투영 렌즈 시스템)(PS)을 포함하고 있다.
작동 시, 조명 시스템(IL)은, 예를 들어 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 방사선 빔을 받아들인다. 조명 시스템(IL)은 방사선을 지향, 성형 및/또는 제어하기 위하여 굴절형, 반사형, 자기형, 전자기형, 정전형 및/또는 기타 다른 유형의 광학 구성 요소 또는 이들의 임의의 조합과 같은 다양한 유형의 광학 구성 요소를 포함할 수 있다. 일루미네이터(IL)는 패터닝 디바이스(MA)의 평면에서 방사선 빔의 횡단면에 원하는 공간 및 각도 세기 분포를 갖도록 방사선 빔(B)을 조절하기 위해 사용될 수 있다.
본 명세서에서 사용된 용어 "투영 시스템"(PS)은 사용되는 노광 방사선에 및/또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 요인에 적합한 것으로서, 굴절형, 반사형, 반사굴절형, 애너모픽, 자기형, 전자기형 및/또는 정전형 광학 시스템 또는 이들의 임의의 조합을 포함하는, 다양한 유형의 투영 시스템을 포괄하는 것으로 폭넓게 해석되어야 한다. 본 명세서 내에서의 용어 "투영 렌즈"의 임의의 사용은 더욱 일반적인 용어 "투영 시스템"(PS)의 동의어로 간주될 수 있다.
리소그래피 장치(LA)는 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위하여 기판의 적어도 일 부분이 비교적 높은 굴절률을 갖는 액체, 예를 들어 물로 덮일 수 있는 유형일 수도 있으며-이는 침지 리소그래피로도 지칭된다. 침지 기법에 대한 더 많은 정보가 US6,952,253에 제공되어 있으며, 이는 명세서에서 그 전문이 인용 참조된다
리소그래피 장치(LA)는 또한 2개 이상의 기판 지지체(WT) ("이중 스테이지"로도 불림)를 갖는 유형일 수 있다. 이러한 "다중 스테이지" 기계에서, 기판 지지체(WT)들은 동시에 사용될 수 있으며, 및/또는 기판(W)의 후속 노광의 준비 단계가 기판 지지체(WT)들 중 하나에 위치된 기판(W) 상에서 수행될 수 있는 반면, 다른 기판 지지체(WT) 상의 또 다른 기판(W)은 다른 기판(W) 상에서 패턴을 노광시키기 위해 이용되고 된다.
기판 지지체(WT)에 더하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 유지하도록 배열되어 있다. 센서는 투영 시스템(PS)의 특성 또는 방사선 빔(B)의 특성을 측정하도록 배열될 수 있다. 측정 스테이지는 다수의 센서를 유지시킬 수 있다. 세정 디바이스는 리소그래피 장치의 일부, 예를 들어 투영 시스템(PS)의 일부 또는 침지 액체를 제공하는 시스템의 일부를 세정하도록 배열될 수 있다. 기판 지지체(WT)가 투영 시스템(PS)으로부터 떨어져 있을 때 측정 스테이지는 투영 시스템(PS) 아래로 이동할 수 있다.
작동 시에, 방사선 빔(B)은 마스크 지지체(MT) 상에서 유지되는 패터닝 디바이스, 예를 들어 마스크(MA)에 입사되며, 패터닝 디바이스(MA) 상에 존재하는 패턴 (디자인 레이아웃)에 의해 패터닝된다. 마스크(MA)를 가로지른 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 투영 시스템(PS)은 빔을 기판(W)의 타겟 부분(C) 상으로 집속한다. 제2 포지셔너(PW) 및 위치 측정 시스템(IF)의 도움으로, 기판 지지체(WT)는 예를 들어, 방사선 빔(B)의 경로에서 상이한 타겟 부분(C)들을 집속되고 정렬된 위치에 위치시키기 위하여 정확하게 이동될 수 있다. 마찬가지로, 제1 포지셔너(PM) 및 아마도 (도 1에서는 명확히 도시되지 않은) 또 다른 위치 센서가 사용되어 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확하게 위치시킬 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2)와 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 도시된 바와 같이 기판 정렬 마크(P1, P2)는 전용 타겟 부분을 점유하고 있지만, 이들은 타겟 부분들 사이의 공간에 위치될 수 있다. 기판 정렬 마크(P1, P2)는 이들이 타겟 부분(C)들 사이에 위치될 때 스크라이브-레인 정렬 마크로 알려져 있다
도 15에서 보여지는 바와 같이, 리소그래피 장치(LA)는 리소그래피 셀(LC)의 일부를 형성할 수 있으며, 때때로 리소셀 또는 (리소) 클러스터로도 지칭되고, 이는 흔히 기판(W) 상에서 노광 전 및 노광 후 공정을 수행하기 위한 장치를 포함하기도 한다. 통상적으로, 이들은 레지스트 층을 증착하기 위한 스핀 코터(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 예를 들어, 기판(W)의 온도를 조절하기 위한, 예를 들어 레지스트 층 내의 용매를 조절하기 위한 냉각 플레이트(CH) 및 베이크 플레이트(BK)를 포함하고 있다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하고, 이후 기판을 상이한 공정 장치들 사이를 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(LB)에 전달한다. 흔히 통칭적으로 트랙으로도 지칭되는, 리소셀 내의 디바이스는 전형적으로, 자체가 감독 제어 시스템(SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있으며, 감독 제어 시스템은 또한 예를 들어 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W)이 정확하고 일관되게 노광되도록, 기판을 검사하여 후속 층들 간의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은 패터닝된 구조체의 특성을 측정하는 것이 바람직하다. 이 목적을 위하여, 검사 툴 (보이지 않음)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출된다면, 특히 동일 배치(batch) 또는 로트(lot)의 다른 기판(W)이 아직 노광 또는 처리되기 전에 검사가 수행된다면, 예를 들어 후속 기판의 노광에 대해 또는 기판(W) 상에서 수행될 다른 처리 단계에 대해 조정이 이루어질 수 있다.
계측 장치로도 지칭될 수 있는 검사 장치는 기판(W)의 특성을 결정하기 위해, 그리고 특히 상이한 기판(W)들의 특성이 어떻게 달라지는지 또는 동일한 기판(W)의 상이한 층들과 연관된 특성이 층마다 어떻게 달라지는지를 결정하기 위해 사용된다. 검사 장치는 대안적으로 기판(W) 상의 결함을 확인하도록 구성될 수 있으며, 또한 예를 들어 리소셀(LC)의 일부일 수 있거나, 리소그래피 장치(LA)에 통합될 수 있거나, 심지어 독립형 디바이스일 수 있다. 검사 장치는 잠상 (노광 후의 레지스트 층의 이미지) 또는 반-잠상 (노광 후 베이크 단계(PEB) 후의 레지스트 층의 이미지), 또는 (레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거된) 현상된 레지스트 이미지, 또는 심지어 (에칭과 같은 패턴 전사 단계 이후의) 에칭된 이미지에 관한 특성을 측정할 수 있다.
검사 툴은 또한 흔히 계측 툴(MT)로 지칭된다. 주사 전자 현미경 또는 다양한 형태의 스캐터로미터 계측 툴(MT)을 포함하는, 이러한 측정을 수행하기 위한 다양한 유형의 계측 툴(MT)이 알려져 있다. 스캐터로미터의 대물렌즈(objective)의 퓨필(pupil)에 또는 퓨필과의 공액 평면에 센서를 가짐으로써 (이 경우 측정은 통상적으로 퓨필 기반 측정으로서 지칭됨), 또는 이미지 평면에 또는 이미지 평면에 공액인 평면에 센서를 가짐으로써 (이 경우 측정은 통상적으로 이미지 또는 필드 기반 측정으로서 지칭됨) 스캐터로미터는 리소그래피 공정의 매개변수의 측정을 허용하는 다목적 기구이다. 이러한 스캐터로미터 및 관련 측정 기법은 그 전문이 본 명세서에서 인용 참조되는 특허 출원 US2010/0328655, US2011/102753A1, US2012/0044470A, US2010/049470A, US2011/0249244, US2011/0026032 또는 EP1,628,164A에 추가로 설명되어 있다. 앞서 언급된 스캐터로미터는 연질 X-선 및 가시광선에서 근적외선 파장 범위의 광을 사용하여 격자를 측정할 수 있다.
제1 실시예에서, 스캐터로미터(MT)는 각도 분해 스캐터로미터이다. 이러한 스캐터로미터에서, 재구성 방법은 측정된 신호에 적용되어 격자의 특성을 재구성하거나 계산할 수 있다. 이러한 재구성은, 예를 들어 타겟 구조체의 수학적 모델과의 산란 방사선의 상호 작용을 시뮬레이션하는 것과 시뮬레이션 결과를 측정의 결과와 비교하는 것으로부터 기인할 수 있다. 시뮬레이션된 상호 작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 수학적 모델의 매개변수가 조정된다.
제2 실시예에서, 스캐터로미터(MT)는 분광 스캐터로미터이다. 이러한 분광 스캐터로미터(MT)에서, 방사선 소스에 의하여 방출된 방사선은 타겟으로 향하며, 타겟으로부터의 반사된 또는 산란된 방사선은 스캐터로미터 검출기로 향하고, 이 스캐터로미터 검출기는 정반사 방사선의 스펙트럼을 측정한다 (즉, 파장의 함수로서 세기의 측정). 이 데이터로부터, 검출된 스펙트럼을 발생시키는 타겟의 구조 또는 프로파일은, 예를 들어 엄밀한 결합 파동 분석(Rigorous Coupled Wave Analysis)과 비선형 회귀에 의하여 또는 시뮬레이션된 스펙트럼의 라이브러리와의 비교에 의하여 재구성될 수 있다.
제3 실시예에서, 스캐터로미터(MT)는 엘립소메트릭 스캐터로미터이다. 엘립소메트릭 스캐터로미터는 각 편광 상태에 대해 산란 방사선을 측정함으로써 리소그래피 공정의 매개변수를 결정하는 것을 허용한다. 이러한 계측 장치는, 예를 들어 계측 장치의 조명 부분 내의 적절한 편광 필터를 사용함에 의하여 (선형, 원형 또는 타원형과 같은) 편광을 방출한다. 계측 장치에 적합한 소스는 편광된 방사선도 제공할 수 있다. 기존의 엘립소메트릭 스캐터로미터의 다양한 실시예가, 본 명세서에서 그 전문이 인용 참조되는 미국 특허 출원 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110 및 13/891,410에 설명되어 있다.
스캐터로미터(MT)의 한 실시예에서, 스캐터로미터(MT)는 반사된 스펙트럼 및/또는 검출 구성 내의 비대칭성을 측정함으로써 2개의 오정렬된 격자 또는 주기적 구조체의 오버레이를 측정하도록 맞추어질 수 있으며, 비대칭성은 오버레이의 규모(extent)와 관련되어 있다. 2개의 (전형적으로 중첩되는) 격자 구조체는 2개의 상이한 층 (반드시 연속적인 층은 아님)에 적용될 수 있으며, 실질적으로 웨이퍼 상의 동일한 위치에 형성될 수 있다. 임의의 비대칭성이 명확하게 구별될 수 있도록 스캐터로미터는, 예를 들어 공유된 특허 출원 EP1,628,164A에서 설명된 바와 같이 대칭적인 검출 구성을 가질 수 있다. 이는 격자들 내의 오정렬을 측정하기 위한 간단한 방법을 제공한다. 타겟이 주기적 구조체의 비대칭을 통해 측정됨에 따라 주기적 구조체를 포함하는 2개 층 사이의 오버레이 오차를 측정하기 위한 추가 예는 PCT 특허 출원 공개 번호 WO2011/012624 또는 미국 특허 출원 공개 US2016/0161863에서 찾아질 수 있으며, 이들은 본 명세서에서 그 전문이 인용 참조된다.
다른 관심 대상 매개변수는 초점 및 선량일 수 있다. 초점과 선량은 본 명세서에서 그 전문이 인용 참조되는 미국 특허 출원 공개 US2011/0249244에서 설명된 바와 같이 스캐터로메트리에 의하여 (또는 대안적으로 주사 전자 현미경에 의하여) 동시에 결정될 수 있다. 초점 에너지 매트릭스 (FEM-또한 초점 노광 매트릭스로 지칭됨)의 각 지점에 대한 임계 치수와 측벽 각도 측정의 고유 조합을 갖는 단일 구조체가 사용될 수 있다. 임계 치수와 측벽 각도의 이 고유한 조합이 사용 가능하다면, 초점 및 선량 값이 이 측정들로부터 고유하게 결정될 수 있다.
계측 타겟은 리소그래피 공정에 의하여 대부분은 레지스트 내에, 그러나 예를 들어 에칭 공정 후에도 형성되는 복합 격자들의 앙상블(ensemble)일 수 있다. 전형적으로, 격자들 내의 구조체들의 피치 및 선 폭은 계측 타겟으로부터 나오는 회절 차수를 캡처할 수 있도록 측정 광학계 (특히, 광학계의 NA)에 크게 의존한다. 앞서 나타난 바와 같이, 회절 신호는 2개의 층 사이의 시프트(shift) (또한 "오버레이"로 지칭됨)를 결정하기 위해 사용될 수 있거나 리소그래피 공정에 의하여 생성된 바와 같은 원래 격자의 적어도 일부를 재구성하기 위해 사용될 수 있다. 이 재구성은 리소그래피 공정의 품질의 지침을 제공하기 위해 사용될 수 있으며 또한 리소그래피 공정의 적어도 일부를 제어하기 위해 사용될 수 있다. 타겟은 타겟 내의 디자인 레이아웃의 기능적 부분의 치수를 모방하도록 구성된 더 작은 서브-세그먼테이션(sub-segmentation)을 가질 수 있다. 이 서브-세그먼테이션으로 인하여, 타겟은 전체 공정 매개변수 측정이 디자인 레이아웃의 기능적 부분과 더 잘 비슷하도록 디자인 레이아웃의 기능적 부분과 더 유사하게 거동할 것이다. 타겟은 언더필 모드(under-filled mode)에서 또는 오버필 모드(overfilled mode)에서 측정될 수 있다. 언더필 모드에서, 측정 빔은 전체 타겟보다 작은 스폿을 생성한다. 오버필 모드에서는, 측정 빔은 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필 모드에서, 상이한 타겟들을 동시에 측정하는 것이 또한 가능할 수 있으며, 따라서 상이한 처리 매개변수들을 동시에 결정할 수 있다.
특정 타겟을 사용한 리소그래피 매개변수의 전체 측정 품질은 이 리소그래피 매개변수를 측정하기 위해 사용되는 측정 레시피에 의해 적어도 부분적으로 결정된다. 용어 "기판 측정 레시피"는 측정 자체의 하나 이상의 매개변수, 측정된 하나 이상의 패턴의 하나 이상의 매개변수, 또는 둘 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에 사용된 측정이 회절 기반 광학 측정이라면, 측정의 매개변수 중 하나 이상은 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 배향 등을 포함할 수 있다. 측정 레시피를 선택하기 위한 기준 중 하나는, 예를 들어 처리 변화에 대한 측정 매개변수들 중 하나의 민감도일 수 있다. 더 많은 예가 본 명세서에서 그 전문이 인용 참조되는 미국 특허 출원 공개 US2016/0161863 및 미국 특허 출원 공개 US 2016/0370717 A1에 설명되어 있다.
전형적으로, 리소그래피 장치(LA)에서의 패터닝 공정은 기판(W) 상의 구조체의 치수 설정 및 배치의 높은 정확도를 요구하는 처리에 있어서 가장 중요한 단계들 중 하나이다. 이 높은 정확도를 보장하기 위하여, 도 16에 개략적으로 도시된 바와 같이 3개의 시스템이 소위 "전체적인(holistic)" 제어 환경에서 조합될 수 있다. 이 시스템들 중 하나는 계측 툴(MT) (제2 시스템)에 그리고 컴퓨터 시스템(CL) (제3 시스템)에 (가상으로) 연결된 리소그래피 장치(LA)이다. 이러한 "전체적인(holistic)" 환경의 핵심은 이 3개의 시스템 간의 협력을 최적화하여 전체적인 공정 윈도우를 향상시키는 것 및 리소그래피 장치(LA)에 의해 수행된 패터닝이 공정 윈도우 내에서 계속 유지되는 것을 보장하기 위해 엄격한 제어 루프를 제공하는 것이다. 공정 윈도우는 특정 제조 공정이 한정된 결과 (예를 들어, 기능 반도체 디바이스)를 생성하는 공정 매개변수 (예를 들어, 선량, 초점, 오버레이)의 범위를 한정한다-전형적으로 이러한 공정 윈도우 내에서 리소그래피 공정 또는 패터닝 공정의 공정 매개변수는 달라지는 것이 허용된다.
컴퓨터 시스템(CL)은 패터닝될 디자인 레이아웃(의 일부)을 사용하여 어느 분해능 향상 기법을 사용할지 예측할 수 있으며 그리고 어느 마스크 레이아웃 및 리소그래피 장치 설정(setting)이 패터닝 공정의 가장 큰 전체 공정 윈도우를 달성하는지를 결정하기 위해 컴퓨터 리소그래피 시뮬레이션 및 계산을 수행할 수 있다 (도 3에서 제1 스케일(SC1) 내에 이중 화살표로 표시됨). 전형적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 마련된다. 컴퓨터 시스템(CL)은 또한 (예를 들어, 계측 툴(MT)로부터의 입력을 사용하여) 공정 윈도우 내의 어느 곳에서 리소그래피 장치(LA)가 현재 작동하고 있는지를 검출하기 위해 사용되어, 예를 들어 차선의 처리로 인하여 결함이 존재할 수 있는지 여부를 예측할 수 있다 (도 3에서 제2 스케일(SC2) 내에서 "0"을 가리키는 화살표로 도시됨).
계측 툴(MT)은 컴퓨터 시스템(CL)에 입력을 제공하여 정확한 시뮬레이션 및 예측을 가능하게 할 수 있으며, 또한 리소그래피 장치(LA)에 피드백을 제공하여 예를 들어 리소그래피 장치(LA)의 교정 상태에서의 가능한 드리프트를 확인할 수 있다 (도 3에서 제3 스케일(SC3) 내에 다수의 화살표로 도시됨).
추가 실시예가 다음의 번호가 매겨진 절에 제공되어 있다:
1. 리플렉터는 내부를 통과하는 통로가 형성된 내부 표면을 갖는 중공형 몸체를 포함하되, 내부 표면은 방사선을 반사하도록 구성된 적어도 하나의 광학 표면부 및 지지체 표면부를 가지고, 광학 표면부는 사전 결정된 굴절력을 가지며, 지지체 표면부는 사전 결정된 굴절력을 갖지 않는다.
2. 청구항 1항에 따른 리플렉터에서, 광학 표면부 또는 표면부들은 제1 거칠기를 가지며, 지지체 표면부는 제2 거칠기를 갖고, 제1 거칠기는 제2 거칠기보다 작다.
3. 청구항 2항에 따른 리플렉터에서, 제1 거칠기는 100 pm 평균 평균제곱근(RMS) 미만, 선택적으로 50 pm RMS 미만, 선택적으로 35 pm RMS 미만이다.
4. 청구항 1항, 2항 또는 3항에 따른 리플렉터에서, 광학 표면부는 통로의 축에 수직인 평면에서 제1 곡률 반경을 가지며, 지지체 표면부는 평면에서 제2 곡률 반경을 갖고, 제1 곡률 반경의 절대값은 제2 곡률 반경보다 크다.
5. 청구항 4항에 따른 리플렉터에서, 평면에서의 지지체 표면부의 횡단면은 축에서 180°보다 큰 각도에 대한다(subtend).
6. 청구항 1항 내지 5항 중 어느 한 항에 따른 리플렉터에서, 통로의 횡단면은 그의 한 종단에서 다른 종단으로 단조 증가한다.
7. 청구항 1항 내지 6항 중 어느 한 항에 따른 리플렉터는 광학 표면부 상에 제공된 반사 향상 층을 더 포함한다.
8. 청구항 1항 내지 7항 중 어느 한 항에 따른 리플렉터에서, 몸체는 니켈, 수지, 글라스 및 열가소성 폴리머로 구성된 그룹에서 선택된 물질로 형성된 쉘을 포함한다.
9. 청구항 8항에 따른 리플렉터는 쉘 외부의 보강부를 더 포함한다.
10. 청구항 1항 내지 9항 중 어느 한 항에 따른 리플렉터에서, 광학 표면부는 1 ㎚ 내지 50 ㎚의 범위 내의 파장에서 70% 보다 큰, 선택적으로 80%보다 큰 그레이징 입사에서의 반사율을 갖는다.
11. 광학 유닛은 청구항 1항 내지 10항 중 어느 한 항에 따른 2개의 리플렉터를 포함하며, 2개의 리플렉터는 하나의 리플렉터가 나머지 리플렉터로 광을 반사시키고 2개의 리플렉터의 자오면들은 수직이 되도록 배열된다.
12. 검사 장치는 청구항 1 내지 10항 중 어느 한 항에 따른 리플렉터 또는 청구항 11항에 따른 광학 유닛을 포함하는 광학 시스템을 포함한다.
13. 리플렉터를 제조하는 방법은,
축 방향으로 대칭적인 맨드렐을 제공하는 것;
맨드렐의 원주 표면의 일부를 성형하여 맨드렐의 축을 중심으로 회전적으로 대칭이 아닌 적어도 하나의 역 광학 표면부를 형성하는 것;
맨드렐 주위에 리플렉터 몸체를 형성하는 것; 및
리플렉터 몸체를 맨드렐로부터 해제시키는 것을 포함하여,
그에 의하여 리플렉터 몸체는 역 광학 표면부에 의해 규정된 광학 표면 및 맨드렐의 나머지 외부 표면에 의해 규정된 지지체 표면부를 갖는다.
14. 청구항 13항에 따른 방법에서, 맨드렐의 외부 표면의 일부를 성형하는 것은 자기 유변 유체 마무리(MRF), 유체 제트 연마(FJP), 탄성적 방출 가공(EEM), 이온 빔 형상화, 및 플로트 연마(Float Polishing)를 포함하는 그룹으로부터 선택된 공정을 이용하는 초 연마 단계를 포함한다.
15. 청구항 14항에 따른 방법에서, 역 광학 표면부가 100 pm 평균제곱근(RMS) 미만, 선택적으로 50 pm RMS 미만, 선택적으로 35 pm RMS 미만의 표면 거칠기를 갖도록 초 연마 단계가 수행된다.
16. 청구항 13항, 14항 또는 15항 중 어느 한 항에 따른 방법은 반사를 향상시키는 단일 또는 다중 층 코팅부를 리플렉터 몸체의 광학 표면부에 도포하는 것을 더 포함한다.
17. 청구항 13항 내지 16항 중 어느 한 항에 따른 방법은 형상화 후 및 성형 전에 전극 및 이형 층을 맨드렐에 도포하는 것을 더 포함한다.
18. 청구항 13항 내지 17항 중 어느 한 항에 따른 방법은 동일 맨드렐을 이용하여 복수의 리플렉터를 형성하기 위하여 성형 및 해제 단계를 반복하는 것을 더 포함한다.
19. 청구항 13항 내지 18항 중 어느 한 항에 따른 방법은 해제 전 또는 해제 후에 보강부를 리플렉터 몸체의 외부에 도포하는 것을 더 포함한다.
20. 청구항 14항 내지 19항 중 어느 한 항에 따른 방법에서, 리플렉터 몸체를 형성하는 것은 금속의 전기 증착을 포함한다.
21. 리플렉터를 제조하기 위한 맨드렐로서, 맨드렐의 외부 표면은 축 방향으로 대칭적인 형상(figure)에 일치하는 제1 표면부 및 축 방향으로 대칭적인 형상 내에 있으며 사전 결정된 굴절력을 갖는 광학 표면에 대응하는 역 광학 표면부를 갖는다.
이 본문에서 IC의 제조에서의 계측 장치의 사용에 대해 구체적인 참조가 이루어질 수 있지만, 본 명세서에서 설명된 장치는 다른 응용을 가질 수 있다는 점이 이해되어야 한다. 가능한 다른 응용은 집적 광학 시스템의 제조, 자기 도메인 메모리를 위한 유도 및 검출 패턴, 플랫-패널 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등을 포함한다.
이 명세서에서 "계측 장치" 또는 "검사 장치"에 대한 특정 참조가 이루어지지만, 양 용어는 또한 검사 장치 또는 검사 시스템을 지칭할 수도 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 또는 계측 장치는 기판 상의 또는 웨이퍼 상의 구조체의 특성을 결정하기 위해 사용될 수 있다. 예를 들어, 본 발명의 실시예를 포함하는 검사 장치 또는 계측 장치는 기판의 결함 또는 기판 상의 또는 웨이퍼 상의 구조체의 결함을 검출하기 위해 사용될 수 있다. 이러한 실시예에서, 기판 상의 구조체의 관심 대상 특성은 구조체 내의 결함, 구조체의 특정 부분의 부재, 또는 기판 상의 또는 웨이퍼 상의 원하지 않는 구조체의 존재와 관련될 수 있다
본 명세서에서는 계측 장치의 맥락에서 본 발명의 실시예에 대해 특정 참조가 이루어질 수 있지만, 본 발명의 실시예는 다른 장치에서 사용될 수 있다. 본 발명의 실시예는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼 (또는 기타 기판) 또는 마스크 (또는 기타 패터닝 디바이스)와 같은 대상물을 측정 또는 처리하는 임의의 장치의 일부를 형성할 수 있다. 이 장치들은 일반적으로 리소그래피 툴(tool)로 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 대기 (비진공) 조건을 이용할 수 있다.
광학 리소그래피의 맥락에서 본 발명의 실시예의 사용에 대해 특정 참조가 위에서 이루어질 수 있지만, 문맥이 허용하는 경우, 본 발명은 광학 리소그래피에 제한되지 않으며 다른 응용, 예를 들어 임프린트 리소그래피에 사용될 수 있다는 것이 인식될 것이다.
위의 설명은 HHG 소스의 사용을 언급한다. HHG는 고조파 생성 (High Harmonic Generation)을 언급하며, 고조파 생성은 때로는 고차 고조파(high order harmonic) 생성으로 지칭된다. HHG는, 예를 들어 가스, 플라즈마 또는 고체 샘플과 같은 타겟이 집중적 레이저 펄스에 의하여 조명되는 비선형 공정이다. 이어서, 타겟은 레이저 펄스의 방사선의 주파수의 배수인 주파수를 갖는 방사선을 방출할 수 있다. 이러한 주파수, 즉 배수는 레이저 펄스의 방사선의 고조파로 불린다. 5차 고조파를 초과하는 고조파는 고차 고조파(high harmonics)로 지칭될 수 있으며, 이를 포함하는 방사선은 HHG 방사선으로 지칭될 수 있다. HHG 방사선을 생성하는 물리적 공정은 낮은 고조파, 전형적으로 2차 내지 5차 고조파의 방사선을 생성하는 것과 관련된 물리적 공정과 다르다. 낮은 고조파의 방사선의 생성은 섭동 이론과 관련이 있다. 타겟 내의 원자의 (결합된) 전자의 궤도는 실질적으로 호스트 이온의 쿨롱 전위(Coulomb potential)에 의해 결정된다. HHG에서, HHG 공정에 기여하는 전자의 궤적은 입사 레이저 광의 전기장에 의해 실질적으로 결정된다. HHG를 설명하는 소위 "3 단계 모델"에서, 전자들은 그 순간에 레이저 필드에 의하여 실질적으로 억제된 쿨롱 장벽을 관통하고 (1 단계), 레이저 필드에 의하여 결정된 궤적을 따르며 (2 단계), 그리고 그들의 운동 에너지뿐만 아니라 이온화 에너지를 방사선 형태의 방출하는 동안 특정 확률로 재결합한다 (단계 3). HHG와 저 고조파의 방사선의 생성 간의 차이의 대안적인 정의는 타겟 원자의 이온화 에너지 이상의 광자 에너지를 가진 모든 방사선이 "고차 고조파" 방사선, 예를 들어 HHG 생성 방사선이며 이온화 에너지 미만의 광자 에너지를 갖는 모든 방사선은 비-HHG 생성 방사선이라는 것이다. 네온이 가스 타겟으로 사용된다면, (20.18 eV보다 높은 광자 에너지를 갖는) 62 ㎚보다 짧은 파장을 갖는 모든 방사선은 HHG 공정에 의하여 생성된다. 가스 타겟으로서의 아르곤에 대하여, 약 15.8 eV보다 높은 광자 에너지를 갖는 모든 방사선은 HHG 공정에 의하여 생성된다.
본 발명의 특정 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과는 달리 실시될 수 있다는 점이 인식될 것이다. 위의 설명은 제한이 아닌, 설명을 위한 것이다. 따라서, 아래에 설명된 청구범위의 범위를 벗어남이 없이 설명된 바와 같이 본 발명에 대하여 수정이 이루어질 수 있다는 것이 당 업자에게 명백할 것이다.

Claims (15)

  1. 리플렉터에 있어서,
    내부를 통과하는 통로가 형성된 내부 표면을 갖는 중공형 몸체를 포함하되, 상기 내부 표면은 방사선을 반사하도록 구성된 적어도 하나의 광학 표면부 및 지지체 표면부를 가지고,
    상기 광학 표면부는 사전 결정된 굴절력(optical power)을 가지며, 상기 지지체 표면부는 상기 사전 결정된 굴절력을 갖지 않는 리플렉터.
  2. 제1항에 있어서, 상기 광학 표면부 또는 표면부들은 제1 거칠기를 가지며, 상기 지지체 표면부는 제2 거칠기를 갖고, 상기 제1 거칠기는 상기 제2 거칠기보다 작으며;
    선택적으로, 상기 제1 거칠기는 100 pm 평균제곱근(RMS) 미만, 선택적으로 50 pm RMS 미만, 선택적으로 35 pm RMS 미만인 리플렉터.
  3. 제1항 또는 제2항에 있어서, 상기 광학 표면부는 상기 통로의 축에 수직인 평면에서 제1 곡률 반경을 가지며, 상기 지지체 표면부는 상기 평면에서 제2 곡률 반경을 갖고, 상기 제1 곡률 반경의 절대값은 제2 곡률 반경보다 큰 리플렉터.
  4. 제3항에 있어서, 상기 평면에서의 상기 지지체 표면부의 횡단면은 상기 축에서 180°보다 큰 각도에 대하는(subtend) 리플렉터.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 통로의 횡단면은 그의 한 종단에서 다른 종단으로 단조 증가하는 리플렉터.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 광학 표면부 상에 제공된 반사 향상 층을 더 포함하는 리플렉터.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 몸체는 니켈, 수지, 글라스 및 열가소성 폴리머로로 구성된 그룹에서 선택된 물질로 형성된 쉘을 포함하며;
    선택적으로, 상기 리플렉터는 상기 쉘 외부의 보강부를 더 포함하는 리플렉터.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 광학 표면부는 1 ㎚ 내지 50 ㎚의 범위 내의 파장에서 70%보다 큰, 선택적으로 80%보다 큰 그레이징 입사에서의 반사율을 갖는 리플렉터.
  9. 제1항 내지 제8항 중 어느 한 항에 따른 2개의 리플렉터를 포함하며, 상기 2개의 리플렉터는 하나의 리플렉터가 나머지 리플렉터로 광을 반사시키고 상기 2개의 리플렉터의 자오면들은 수직이 되도록 배열된 광학 유닛.
  10. 제1항 내지 제8항 중 어느 한 항에 따른 리플렉터 또는 제9항에 따른 광학 유닛을 포함하는 광학 시스템을 포함하는 검사 장치.
  11. 리플렉터를 제조하는 방법에 있어서,
    축 방향으로 대칭적인 맨드렐을 제공하는 것;
    상기 맨드렐의 원주 표면의 일부를 성형하여 상기 맨드렐의 축을 중심으로 회전적으로 대칭이 아닌 적어도 하나의 역 광학 표면부를 형성하는 것;
    상기 맨드렐 주위에 리플렉터 몸체를 형성하는 것; 및
    상기 리플렉터 몸체를 상기 맨드렐로부터 해제시키는 것을 포함하고,
    그에 의하여 상기 리플렉터 몸체는 상기 역 광학 표면부에 의해 규정된 광학 표면 및 상기 맨드렐의 나머지 외부 표면에 의해 규정된 지지체 표면부를 갖는, 리플렉터를 제조하는 방법.
  12. 제11항에 있어서, 상기 맨드렐의 상기 외부 표면의 일부를 성형하는 것은 자기 유변 유체 마무리(MRF), 유체 제트 연마(FJP), 탄성적 방출 가공(EEM), 이온 빔 형상화, 및 플로트 연마(Float Polishing)를 포함하는 그룹으로부터 선택된 공정을 이용하는 초 연마 단계를 포함하는, 리플렉터를 제조하는 방법.
  13. 제11항에 있어서, 상기 역 광학 표면부가 100 pm 평균제곱근(RMS) 미만, 선택적으로 50 pm RMS 미만, 선택적으로 35 pm RMS 미만의 표면 거칠기를 갖도록 초 연마 단계가 수행되는, 리플렉터를 제조하는 방법.
  14. 제11항, 제12항 또는 제13항 중 어느 한 항에 있어서, 반사를 향상시키는 단일 또는 다중 층 코팅부를 상기 리플렉터 몸체의 상기 광학 표면부에 도포하는 것을 더 포함하는, 리플렉터를 제조하는 방법.
  15. 제11항 내지 제14항 중 어느 한 항에 있어서, 상기 성형하는 것 이후에 그리고 리플렉터 몸체를 형성하는 것 이전에, 전극 및 이형 층을 상기 맨드렐에 도포하는 것을 더 포함하는, 리플렉터를 제조하는 방법.
KR1020207036085A 2018-06-15 2019-05-23 리플렉터 및 리플렉터 제조 방법 KR102579721B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18178127.9A EP3582009A1 (en) 2018-06-15 2018-06-15 Reflector and method of manufacturing a reflector
EP18178127.9 2018-06-15
PCT/EP2019/063352 WO2019238382A1 (en) 2018-06-15 2019-05-23 Reflector and method of manufacturing a reflector

Publications (2)

Publication Number Publication Date
KR20210010906A true KR20210010906A (ko) 2021-01-28
KR102579721B1 KR102579721B1 (ko) 2023-09-15

Family

ID=62705440

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207036085A KR102579721B1 (ko) 2018-06-15 2019-05-23 리플렉터 및 리플렉터 제조 방법

Country Status (8)

Country Link
US (2) US11145428B2 (ko)
EP (2) EP3582009A1 (ko)
JP (1) JP7286683B2 (ko)
KR (1) KR102579721B1 (ko)
CN (1) CN112292639B (ko)
IL (1) IL279369A (ko)
TW (1) TWI742384B (ko)
WO (1) WO2019238382A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3582009A1 (en) * 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
US11680909B2 (en) * 2020-05-14 2023-06-20 The Boeing Company Automated inspection of foreign materials, cracks and other surface anomalies

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278764B1 (en) * 1999-07-22 2001-08-21 The Regents Of The Unviersity Of California High efficiency replicated x-ray optics and fabrication method
US20090272917A1 (en) * 2008-04-29 2009-11-05 Asml Netherlands B.V. Radiation source
KR20130096911A (ko) * 2012-02-23 2013-09-02 엘지이노텍 주식회사 백라이트 유닛 및 그를 이용한 조명 시스템
US20170336716A1 (en) * 2016-05-19 2017-11-23 Nikon Corporation Euv lithography system for dense line patterning
WO2019238382A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Reflector and method of manufacturing a reflector

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872349A (en) * 1973-03-29 1975-03-18 Fusion Systems Corp Apparatus and method for generating radiation
US5001737A (en) * 1988-10-24 1991-03-19 Aaron Lewis Focusing and guiding X-rays with tapered capillaries
EP0723272B1 (en) * 1994-07-08 2001-04-25 Muradin Abubekirovich Kumakhov Method of guiding beams of neutral and charged particles and a device for implementing said method
US5741445A (en) * 1996-02-06 1998-04-21 Cvd, Incorporated Method of making lightweight closed-back mirror
US6859328B2 (en) * 1998-05-05 2005-02-22 Carl Zeiss Semiconductor Illumination system particularly for microlithography
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
EP1152555A1 (en) * 2000-05-03 2001-11-07 Media Lario S.r.L. Telescope mirror for high bandwidth free space optical data transmission
US6847700B1 (en) * 2001-01-19 2005-01-25 Florida Institute Of Technology Method and apparatus for delivery of x-ray irradiation
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
FR2866438B1 (fr) 2004-02-16 2006-08-11 Agence Spatiale Europeenne Element optique reflecteur, son procede de fabrication, et instrument optique mettant en oeuvre de tels elements
JP2007536552A (ja) 2004-05-10 2007-12-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 光学精密測定装置及び方法
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8142691B2 (en) 2004-09-30 2012-03-27 Lawrence Livermore National Security, Llc Thermal casting of polymers in centrifuge for producing X-ray optics
EP1812935A2 (en) * 2004-11-09 2007-08-01 Carl Zeiss SMT AG High-precision optical surface prepared by sagging from a masterpiece
WO2006061753A1 (en) * 2004-12-09 2006-06-15 Koninklijke Philips Electronics N.V. Illumination system
SG126120A1 (en) 2005-03-29 2006-10-30 Asml Netherlands Bv Lithographic device, device manufacturing method and device manufactured thereby
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
ATE528692T1 (de) * 2006-07-28 2011-10-15 Media Lario Srl Optische multireflexionssysteme und ihre herstellung
JP4888046B2 (ja) * 2006-10-26 2012-02-29 ウシオ電機株式会社 極端紫外光光源装置
TWM311850U (en) * 2006-11-30 2007-05-11 Moduled Inc Light-reflecting holder
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009156225A1 (en) 2008-06-26 2009-12-30 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
US8810775B2 (en) 2010-04-16 2014-08-19 Media Lario S.R.L. EUV mirror module with a nickel electroformed curved mirror
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
JP2013021293A (ja) * 2011-03-29 2013-01-31 Gigaphoton Inc レーザ装置、レーザシステムおよび極端紫外光生成装置
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
US9551827B2 (en) * 2012-01-11 2017-01-24 3M Innovative Properties Company Hollow light duct bend
EP2815271A4 (en) 2012-02-17 2015-09-30 3M Innovative Properties Co ANAMORPHER LIGHT LEADER
US8735844B1 (en) * 2012-03-26 2014-05-27 Massachusetts Institute Of Technology Compact neutron imaging system using axisymmetric mirrors
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
WO2016083076A1 (en) 2014-11-26 2016-06-02 Asml Netherlands B.V. Metrology method, computer product and system
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
WO2016202695A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
JP6823334B2 (ja) 2015-08-10 2021-02-03 国立大学法人 東京大学 高na集光素子の出口波面計測方法及び出口波面計測システム
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278764B1 (en) * 1999-07-22 2001-08-21 The Regents Of The Unviersity Of California High efficiency replicated x-ray optics and fabrication method
US20090272917A1 (en) * 2008-04-29 2009-11-05 Asml Netherlands B.V. Radiation source
KR20130096911A (ko) * 2012-02-23 2013-09-02 엘지이노텍 주식회사 백라이트 유닛 및 그를 이용한 조명 시스템
US20170336716A1 (en) * 2016-05-19 2017-11-23 Nikon Corporation Euv lithography system for dense line patterning
WO2019238382A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Reflector and method of manufacturing a reflector

Also Published As

Publication number Publication date
US11694821B2 (en) 2023-07-04
EP3807718A1 (en) 2021-04-21
CN112292639B (zh) 2023-11-28
US20190385760A1 (en) 2019-12-19
US11145428B2 (en) 2021-10-12
TW202013393A (zh) 2020-04-01
KR102579721B1 (ko) 2023-09-15
CN112292639A (zh) 2021-01-29
EP3582009A1 (en) 2019-12-18
TWI742384B (zh) 2021-10-11
WO2019238382A1 (en) 2019-12-19
JP2021527218A (ja) 2021-10-11
JP7286683B2 (ja) 2023-06-05
US20210383940A1 (en) 2021-12-09
IL279369A (en) 2021-01-31

Similar Documents

Publication Publication Date Title
US10634490B2 (en) Determining edge roughness parameters
KR102507137B1 (ko) 검사 장치용 조명 소스, 검사 장치 및 검사 방법
US11129266B2 (en) Optical system, metrology apparatus and associated method
US11694821B2 (en) Reflector and method of manufacturing a reflector
US20230366815A1 (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3789809A1 (en) Assembly for collimating broadband radiation
US20220134693A1 (en) Reflector manufacturing method and associated reflector
EP3792673A1 (en) Assembly for collimating broadband radiation
NL2021670A (en) Optical system, metrology apparatus and associated method
NL2022635A (en) Reflector manufacturing method and associated reflector

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant