TW202013393A - 反射器及製造反射器之方法 - Google Patents

反射器及製造反射器之方法 Download PDF

Info

Publication number
TW202013393A
TW202013393A TW108120287A TW108120287A TW202013393A TW 202013393 A TW202013393 A TW 202013393A TW 108120287 A TW108120287 A TW 108120287A TW 108120287 A TW108120287 A TW 108120287A TW 202013393 A TW202013393 A TW 202013393A
Authority
TW
Taiwan
Prior art keywords
reflector
surface portion
mandrel
optical surface
optical
Prior art date
Application number
TW108120287A
Other languages
English (en)
Other versions
TWI742384B (zh
Inventor
飛瑞 塞吉普
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202013393A publication Critical patent/TW202013393A/zh
Application granted granted Critical
Publication of TWI742384B publication Critical patent/TWI742384B/zh

Links

Images

Classifications

    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24CABRASIVE OR RELATED BLASTING WITH PARTICULATE MATERIAL
    • B24C1/00Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods
    • B24C1/08Methods for use of abrasive blasting for producing particular effects; Use of auxiliary equipment in connection with such methods for polishing surfaces, e.g. smoothing a surface by making use of liquid-borne abrasives
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70166Capillary or channel elements, e.g. nested extreme ultraviolet [EUV] mirrors or shells, optical fibers or light guides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/067Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators using surface reflection, e.g. grazing incidence mirrors, gratings
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Abstract

本發明提供一種反射器,其包含一中空本體,該中空本體具有界定通過該中空本體之一通道之一內部表面,該內部表面具有經組態以反射輻射之至少一個光學表面部分以及一支架表面部分,其中該光學表面部分具有一預定光學功率且該支架表面部分不具有該預定光學功率。該反射器係藉由進行以下操作而製成 提供一軸向對稱心軸; 塑形該心軸之圓周表面之一部分以形成圍繞該心軸之軸線不旋轉對稱之至少一個反光學表面部分; 圍繞該心軸形成一反射器本體;及 自該心軸釋放該反射器本體,藉以該反射器本體具有由該反光學表面部分界定之一光學表面及由該心軸之外部表面之其餘部分界定之一支架表面部分。

Description

反射器及製造反射器之方法
本發明係關於反射器及製造反射器之方法,該等反射器尤其為用於硬X射線、軟x射線、EUV輻射及/或中子之斜入射及掠入射反射器。
一直需要製造具有不斷變小之特徵的器件,例如積體電路。常常使用光學微影製造積體電路及其他微尺度器件,但諸如壓印微影、電子束微影及奈米級自組裝之其他製造技術為吾人所知。不管如何製造器件,皆常常有必要檢測該器件。亦可能有必要檢測用於製造程序中之工具或組件,諸如光罩或倍縮光罩。對於較小尺寸之特徵,必須使用較短波長之輻射。因此,使用例如在EUV或軟X射線(SXR)範圍內之極短波長輻射的檢測工具合乎需要。
在EUV及SXR範圍內之輻射難以導向或聚焦,此係因為沒有可能建構用於此類波長之折射光學元件。必須使用反射器,常常使用掠入射反射器,來執行EUV及軟X射線之導向及聚焦。因為EUV及軟X射線輻射之波長極短,所以此類輻射之反射器之外形誤差及表面粗糙度要求非常嚴格,以便以低的波前像差及閃焰聚焦光,該閃焰係來自歸因於表面粗糙度之表面散射。因此,用於EUV及/或軟X射線之反射器之製造係困難、昂貴及/或耗時的。
需要一種用於硬X射線、軟x射線、EUV輻射及/或中子之反射器,其易於製造並具有一低外形誤差及/或小表面粗糙度。
亦需要一種製造用於硬X射線、軟x射線、EUV輻射及/或中子之反射器之方法,其可達成嚴格的外形誤差及/或表面粗糙度限度且較快及/或較便宜。
根據本發明,提供一種反射器,其包含一中空本體,該中空本體具有界定通過該中空本體之一通道之一內部表面,該內部表面具有經組態以反射輻射之至少一個光學表面部分以及一支架表面部分,其中該光學表面部分具有一預定光學功率且該支架表面部分不具有該預定光學功率。
根據本發明,提供一種製造一反射器之方法,該方法包含: 提供一軸向對稱心軸; 塑形該心軸之圓周表面之一部分以形成圍繞該心軸之軸線不旋轉對稱之至少一個反光學表面部分; 圍繞該心軸形成一反射器本體;及 自該心軸釋放該反射器本體,藉以該反射器本體具有由該反光學表面部分界定之一光學表面及由該心軸之外部表面之其餘部分界定之一支架表面部分。
根據本發明,提供一種用於製造一反射器之心軸,該心軸之外部表面具有一第一表面部分及一反光學表面部分,該第一表面部分與一軸向對稱外形等形,該反光學表面部分在該軸向對稱外形內並對應於具有一預定光學功率之一光學表面。
圖1描繪度量衡裝置302之示意性表示,其中可使用波長範圍為0.1 nm至100 nm之輻射以量測基板上之結構之參數。圖1中所呈現之度量衡裝置302適合於軟X射線或EUV域。
圖1繪示純粹作為實例的度量衡裝置302之示意性實體配置,其包含使用EUV及/或SXR輻射之光譜散射計。可以角解析散射計之形式提供替代形式之檢測裝置。
檢測裝置302包含輻射源310、照明系統312、基板支撐件316、偵測系統318、398,及度量衡處理單元(metrology processing unit,MPU) 320。
在此實例中,源310包含基於高次諧波產生(HHG)技術的EUV或軟x射線輻射之產生器。HHG源之替代方案為放電產生電漿(DPP)源、自由電子雷射(FEL)源、反康普頓散射(ICS)源或緊湊型同步加速器。HHG源可購自例如美國科羅拉多州博爾德市(Boulder Colorado)之KMLabs (http://www.kmlabs.com/)。輻射源之主要組件為驅動雷射330及HHG氣胞332。氣體供應件334將合適氣體供應至氣胞,在該氣胞中,該合適氣體視情況由電源336離子化。驅動雷射300可為例如具有光學放大器之以光纖為基礎之雷射,其產生紅外線輻射之脈衝,每脈衝可持續例如小於1奈秒(1 ns),其中脈衝重複率視需要而高達若干百萬赫茲。紅外線輻射之波長可為例如大約1微米(1 μm)。將雷射脈衝作為第一輻射光束340遞送至HHG氣胞332,其中在氣體中相比於第一輻射將輻射之一部分轉換成較高頻率,以形成包括所要波長或多個所要波長之相干第二輻射之光束342。
第二輻射可含有多個波長。若輻射係單色的,則可簡化量測計算(例如所關注結構之重新建構)。氣胞332內之氣體體積界定HHG空間,但該空間無需被完全地圍封,且可使用氣體流來代替靜態體積。氣體可為例如諸如氖氣(Ne)或氬氣(Ar)之惰性氣體。亦可使用N2 、O2 、He、Ar、Kr、Xe氣體或其混合物。此等情形為設計選擇事項,且甚至可為同一裝置內之可選擇選項。不同波長將例如在對不同材料之結構成像時提供不同位準之對比度。舉例而言,為了檢測金屬結構或矽結構,可將不同波長選擇為用於對(碳基)抗蝕劑之特徵成像或用於偵測此類不同材料之污染的波長。可提供一或多個濾光器件344。舉例而言,諸如鋁(Al)薄膜之濾光器可用來切斷基諧IR輻射以免進一步傳遞至檢測裝置中。可提供光柵(未展示)以自氣胞中產生之諧波波長當中選擇一或多個特定諧波波長。在真空環境內可含有光束路徑之部分或全部,應記住,SXR輻射在空氣中行進時會被吸收。輻射源310及照明光學件312之各種組件可為可調整的,以在同一裝置內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振為可選擇的。
取決於在檢測中之結構之材料,不同波長可提供進入下部層中的所要位準之穿透。為了解析最小器件特徵以及最小器件特徵當中之缺陷,則短波長很可能為較佳的。舉例而言,可選擇在1至20 nm之範圍內或視情況在1至10 nm之範圍內或視情況在10至20 nm之範圍內的一或多個波長。短於5 nm之波長在自半導體製造中通常所關注之材料反射時會遭受極低臨界角。因此,選擇大於5 nm之波長將會在較高入射角下提供較強信號。另一方面,若檢測任務係用於偵測某一材料之存在,例如以偵測污染,則高達50 nm之波長可為有用的。
經濾光光束342自輻射源310進入檢測腔室350,其中包括所關注結構之基板W由基板支撐件316固持以用於在量測位置處進行檢測。所關注結構被標註為T。檢測腔室350內之氣體壓力由真空泵352維持為接近真空,使得EUV輻射可在無不當衰減的情況下傳遞通過聚焦系統。照明系統312具有將輻射聚焦成經聚焦光束356之功能,且可包含例如二維彎曲鏡面或一系列一維彎曲鏡面,如已公開美國專利申請案US2017/0184981A1 (其內容之全文以引用之方式併入本文中)中所描述。當投影至所關注結構上時,執行聚焦以達成直徑小於大約10 μm之圓形或橢圓形光點S。此可藉由將源直接成像至影像平面上或藉由將由源輻照之小孔徑成像至影像平面上來達成。基板支撐316包含例如X-Y-Z平移載物台及旋轉載物台,可藉由X-Y-Z平移載物台及旋轉載物台使基板W之任何部分在所要定向上到達光束之焦點。因此,輻射光點S形成於所關注結構上。替代地或另外,基板支撐件316包含例如傾斜載物台,其可使基板W以某一角度傾斜以控制所關注結構T上之經聚焦光束之入射角。
視情況,照明系統312將參考輻射光束提供至參考偵測器314,參考偵測器314可經組態以量測經濾光光束342中之不同波長之光譜及/或強度。參考偵測器314可經組態以產生被提供至度量衡處理單元320處理器310之信號315,且濾光器可包含關於經濾光光束342之光譜及/或經濾光光束中之不同波長之強度的資訊。
經反射輻射360由偵測器318捕捉,且光譜382被提供至處理單元320以用來計算目標結構T之屬性。照明系統312及偵測系統318因此形成檢測裝置。此檢測裝置可包含內容之全文以引用之方式併入本文中之US2016 282282A1中所描述的種類之軟X射線及/或EUV光譜反射計。
若目標T具有某一週期性,則經聚焦光束356之輻射亦可被部分地繞射。經繞射輻射397相對於入射角接著相對於經反射輻射360以良好界定之角度遵循另一路徑。在圖1中,所繪製之經繞射輻射397以示意性方式被繪製,且經繞射輻射397可遵循除了所繪製路徑以外之許多其他路徑。檢測裝置302亦可包含偵測經繞射輻射397之至少一部分及/或對經繞射輻射397之至少一部分成像的另外偵測系統398。在圖1中,繪製了單一另外偵測系統398,但檢測裝置302之實施例可包含配置於不同位置處以在複數個繞射方向上偵測經繞射輻射397及/或對經繞射輻射397成像之複數個另外偵測系統398。換言之,照射於目標T上之經聚焦輻射光束之(較高)繞射階由一或多個另外偵測系統398偵測及/或成像。一或多個偵測系統398產生被提供至度量衡處理單元320之信號399。信號399可包括經繞射光397之資訊,及/或可包括自經繞射光397獲得之影像。
為了輔助光點S與所要產品結構之對準及聚焦,檢測裝置302亦可提供在度量衡處理器320之控制下使用輔助輻射之輔助光學件。度量衡處理器320亦可與位置控制器372通信,位置控制器372操作平移載物台、旋轉載物台及/或傾斜載物台。處理器320經由感測器接收關於基板之位置及定向之高度準確的回饋。舉例而言,感測器374可包括干涉計,該等干涉計可以大約皮米(pm)之準確度量測基板支撐件316之部分。在檢測裝置302之操作中,由偵測系統318捕捉之光譜資料382被遞送至度量衡處理單元320。
檢測裝置在任何合適入射——例如正入射、近正入射或掠入射——下使用軟X射線及/或EUV輻射,例如以執行以繞射為基礎之不對稱性量測。入射角亦可在20至40度之範圍內,例如30度。檢測裝置可設置於混合式度量衡系統中。待量測之效能參數可包括疊對(OVL)、臨界尺寸(CD)通孔接點著陸(CD與OVL之組合),及CD均一性(CDU)。量測技術可包括相干繞射成像(CDI)及依解析度疊對(ARO)度量衡。軟X射線及/或EUV輻射可例如具有小於100 nm之波長,例如使用在5至30 nm之範圍內之輻射,視情況在10 nm至20 nm之範圍內之輻射。輻射在特性上可為窄頻或寬頻。輻射可在特定波長帶中具有離散峰值,或可具有更連續的特性。
檢測裝置302可用以量測在微影製造單元內處理(顯影檢測後或ADI)之抗蝕劑材料內之結構,及/或在結構已形成於較硬材料中之後(蝕刻檢測後或AEI)量測結構。舉例而言,在基板已由顯影裝置、蝕刻裝置、退火裝置及/或包括薄層沈積及離子植入(摻雜)設備之其他裝置處理之後,可使用檢測裝置302檢測基板。
製造用於照明系統312中之反射器係困難、昂貴及/或耗時的,此係因為需要高程度之平坦度來最小化閃焰。需要使照明器能夠將多於99%之輻射聚焦於尺寸介於大約2×2 μm2 與40×40 μm2 之間的晶圓上之度量衡目標中。為了達成此情形,需要使反射器之相關表面粗糙度好於100 pm RMS,視情況好於50 pm RMS,且最視情況好於35 pm RMS。藉由將經量測表面剖面之功率譜密度(PSD)積分來獲得相關RMS表面粗糙度。根據標準SEMI MF1811之「GUIDE FOR ESTIMATING THE POWER SPECTRAL DENSITY FUNCTION AND RELATED FINISH PARAMETERS FROM SURFACE PROFILE DATA」來量測表面剖面,其亦描述用以自經量測表面剖面導出PSD之信號處理。藉由將PSD自等於零之空間頻率積分成等於所關注之最短輻射波長之倒數的空間頻率來獲得RMS表面粗糙度。常常藉由組合來自多個器具之量測資料與不同解析度及掃描長度來獲得PSD,諸如長跡線剖面儀、全孔徑干涉量測法、子孔徑縫合干涉量測法、白光干涉量測顯微法(WLI)及原子力顯微法(AFM)。
用於製造軸向對稱掠入射(軟) X射線鏡面之已知技術使用電沈積複製技術以產生薄全殼層管狀鏡面。電沈積基本上複製來自心軸之表面粗糙度。然而,已知方法僅製造軸向對稱反射器。
在本發明之一實施例中,照明系統312包含如圖2中所描繪之一個或兩個Kirkpatrick-Baez交叉鏡面對101、102。此等鏡面在子午平面(該平面含有主射線且鏡面表面在主射線之相交點處正交)中彎曲,在矢狀平面(該平面垂直於子午平面)中有很少或沒有曲率。圖3中繪示了子午平面MP及矢狀平面SP。在子午平面MP中,鏡面101、102之曲率常常遵循橢圓之片段。因為此等鏡面需要矢狀平面中之大曲率半徑,例如大於500 mm,所以全殼層管狀鏡面將會不切實際地大。
製造用於EUV或軟x射線檢測裝置之反射器之習知方法涉及將坯料拋光至所要外形及平坦度。此方法會花費極長的時間且昂貴。
本發明之一實施例提供一種製造全殼層複製光學元件之方法,該方法組合以下優點:可使用具有低複製成本之超拋光技術達成之光學設計自由度及極低表面粗糙度,及緊湊型全殼層電沈積掠入射鏡面之勁度。
圖4至圖9中描繪了根據本發明之方法。如圖4中所描繪,提供合適材料——例如晶態矽、玻璃或玻璃陶瓷——之截頭圓錐形預成形物。預成形物無需為嚴格圓錐形,但應具有沿著其軸線單調地減小之橫截面,亦即,不具有凸出部或凹陷部。可藉由諸如金剛石車削或研磨及拋光之習知技術以所要形狀製造預成形物。預成形物之表面粗糙度對本發明之作用並非至關重要。預成形物無需軸向對稱,但較易於製造軸向對稱預成形物,且軸向對稱性將促成最終產品之勁度。
接下來,藉由自一個側移除材料,在預成形物200上形成反光學表面,如圖5中所展示。可運用兩步驟程序形成反光學表面,其中使用習知研磨技術以達成接近所要端外形之外形,且接著使用超拋光技術以達成最終所要外形(在所要外形誤差限度內)及粗糙度。反光學表面視情況具有小於100 pm RMS、視情況小於50 pm RMS、視情況小於35 pm RMS之粗糙度。反光學表面之形狀與待製造之反射器之表面相反。可在本發明使用之合適超拋光技術包括:磁流變流體精整(MRF)、流體噴射拋光(FJP)、彈性發射加工(EEM)、離子束修形及浮法拋光。
反光學表面201經配置使得最終製造之反射器之子午平面包括原始預成形物之軸線。因此,可容易達成此平面之任何所要曲率。端反射器之矢狀平面垂直於光學預成形物之軸線且可具有大得多的曲率半徑。最終反射器可在矢狀平面中平坦或甚至負彎曲,使得矢狀平面中之反射器橫截面為略微凹形。反光學表面可具有遍及光學表面為非恆定之曲率,亦即,該等曲率無需平坦或無需為圓之片段,而是亦可為圓錐形橫截面之其他片段,其具有多項式形式或基本上自由形式。
如圖6中所展示,薄層202以大約100 nm之厚度塗佈於心軸200之整個外部表面上。此層202在電沈積程序期間充當電極,且亦充當釋放層。合適釋放層取決於用於心軸及用於待形成鏡面之材料。在一實施例中,金、鉻或鉑可用作電極及釋放層。在一些狀況下,釋放層可能並非必要的,或可由例如鎳之第二薄層(亦具有大約100 nm厚度)覆蓋,以促進較厚無應力鎳層之電沈積。
接下來,在釋放層202之頂部上圍繞心軸200形成外部殼層203,如圖7中所展示。可例如藉由電沈積來形成殼層。在一實施例中,藉由將心軸浸沒於胺磺酸鎳(Ni(SO3 Na2 )2 浴中而沈積幾百µm之低應力鎳層來形成殼層。
可使用其他技術以形成殼層。舉例而言,可由施加至心軸並使用已知技術固化之樹脂形成殼層。另一可能性係由玻璃或熱塑性聚合物形成殼層。為了達成此情形,圍繞心軸置放直徑略微大於心軸之經加熱玻璃管。抽空玻璃管與心軸之間的空間,使得外部壓力迫使經加熱玻璃管與心軸且尤其是反光學表面之形狀等形。接著冷卻玻璃殼層以便採取由心軸界定之永久形狀。可遵循由熱塑性聚合物而非玻璃製成初始管的相似程序。
一旦已形成全殼層203,就藉由使全殼層203在心軸之較小端之方向上滑動而自心軸200移除全殼層203,如圖8中所展示。此可藉由以下操作來輔助:加熱或冷卻心軸及/或殼層,從而利用心軸之材料與殼層之材料之間的任何熱膨脹係數差以自心軸釋放殼層。若心軸之熱膨脹係數等於或大於殼層之熱膨脹係數,則可藉由加熱殼層同時冷卻心軸來達成釋放。需要最小化殼層及心軸之任何加熱或冷卻以避免其形狀經由熱滯後而失真。釋放層202亦輔助此程序,且在一些狀況下,釋放層之殘餘物202a、202b可留存於心軸200之外部表面及殼層203之內部表面兩者上。在任何必要清潔之後,可再次使用心軸以形成另一鏡面。因此,用以形成反光學表面之耗時且昂貴的超拋光步驟僅需要完成一次,仍然可使用心軸來製造許多反射器。
圖9現在描繪與心軸分離之殼層203。殼層之內部表面具有兩個部分——光學表面部分204及非光學表面部分205。光學表面部分204具有如由形成於心軸上之反光學表面201所界定之所要表面外形。非光學表面部分205具有原始預成形物之形狀,且其功能係支撐光學表面部分,因此其可被稱作支架表面部分。光學表面部分204在子午方向上具有預定光學功率(換言之,其會聚、發散或以其他方式修改經反射輻射),而非光學表面205可能不具有光學功率或具有不同光學功率。在一實施例中,非光學表面部分之鏡面反射率比光學表面部分之鏡面反射率小得多,例如小於光學表面部分之鏡面反射率之50%。在一實施例中,光學表面部分204為凹形。有可能亦製造凸形表面,但可能需要增大預成形物之錐度以確保可在光學表面部分之曲率大的情況下釋放殼層。
殼層203為中空本體,輻射可傳播通過其中心通道。光學表面部分之矢狀平面(亦即,垂直於通道之軸線)中之曲率半徑(被稱作第一曲率半徑)由反射器之所要光學屬性判定,且通常大,甚至無限。非光學表面部分之矢狀平面(亦即,垂直於通道之軸線)中之曲率半徑(被稱作第二曲率半徑)由殼層之物理屬性(例如勁度及大小)判定,且通常小於第一曲率半徑。
必要時,光學表面部分204可具備反射增強塗層,例如金或釕。可選擇性地將此類塗層施加至光學表面部分204或施加至殼層之整個內部表面且甚至施加至外部表面,例如在彼情形比選擇性塗層更容易的情況下。塗層厚度無需大,因此即使塗佈整個殼層,材料成本亦不高。在一實施例中,反射器對在軟x射線或EUV範圍內之至少一個波長具有大於約70%、視情況大於約80%之掠入射下反射率。
光學表面部分204 (在施加任何塗層之後)具有所要低表面粗糙度,而非光學表面部分205無需具有特定表面粗糙度且因此可具有較高表面粗糙度。非光學表面部分之粗糙度不應阻礙自心軸移除殼層。因為非光學表面部分無需具有尤其低的表面粗糙度,所以所需要的耗時且昂貴的超拋光之量最小化。可運用例如金剛石車削達成之粗糙度對於非光學表面係足夠的。
矢狀平面(垂直於殼層203之軸線)中的光學表面部分204之曲率半徑大於同一平面中的非光學表面部分205之曲率半徑。在一實施例中,矢狀平面及/或子午平面中的光學表面部分之曲率半徑大於500 mm。因為非光學部分具有較小曲率半徑,所以其向鏡面提供強度且尤其提供勁度,而不使鏡面過大或過重。
視情況,在非光學表面部分之軸線(對應於原始預成形物之軸線)處,矢狀平面(垂直於殼層之軸線)中的非光學表面之橫截面對向大於180°之角度。換言之,非光學表面之橫截面為超過半圓之弧形。在此類配置的情況下,殼層之非光學表面部分係勁性的並為光束路徑提供大量空間。
必要時,有可能藉由離子束濺鍍沈積來校正光學表面部分204之任何外形誤差。
必要時,可將加強件添加至全殼層反射器。圖10、圖11及圖12中描繪了兩種類型之加強件。圖10展示在光學表面之區域中設置於殼層之外部上並沿圓周延伸的肋狀物206。肋狀物206可由與殼層之材料相容並具有合適機械屬性的樹脂、金屬或任何其他材料形成。除了沿圓周以外或代替沿圓周,亦可橫向地、對角地及/或縱向地配置肋狀物。肋狀物可設置於非光學表面部分之外部、光學表面部分之外部或此兩者上。肋狀物之圖案容易被設計成提供任何必要強度及支撐。
在圖11中,全殼層反射器被展示為安裝於固持器207中,固持器207軸向對稱並對應於原始預成形物之外部形狀。全殼層反射器使用低應力黏著劑208固定至固持器207。固持器207可為構架而非中空本體。固持器207可具有如上文所描述之加強肋狀物。使用固持器207可簡化製造,此係因為全殼層反射器容易插入至單獨製造之固持器中。圖12中展示了變型固持器207。在此實例中,固持器207具有複數個突出部207a,複數個突出部207a僅遍及反射器204之外部表面之部分接觸及支撐反射器204。
在自心軸移除全殼層反射器之前或之後,可將任何類型之加強件添加至全殼層反射器。在全殼層反射器仍在心軸上時添加加強件具有以下優點:心軸在加強件被添加時維持光學表面之形狀,且加強件可用以在移除程序期間嚙合及支撐全殼層反射器。各種類型之添加性製造技術有用於添加加強件。
圖13展示兩個全殼層反射器203a、203b,其經組態為用於諸如度量衡裝置之檢測裝置之照明系統中的Kirkpatrick-Baez交叉鏡面對。光學表面部分204之曲率未按比例展示。取決於光學表面之所需曲率以及光束角,全殼層反射器203a、203b可被不同地定向,例如兩個窄端鄰近。因為反射器為掠入射反射器,所以光束角低且非光學表面部分205通常將不會干涉光束路徑。然而,必要時,可切掉非光學表面之部分以避免閉塞光束或避免干涉裝置之其他組件。
若不需要支撐非光學表面部分,則有可能完全地分離光學表面203與非光學表面部分205以提供獨立式鏡面。此類鏡面保持以下優點:即使未採取由非光學表面提供之支撐之優點,亦可快速且便宜地製造。若希望移除非光學表面部分,則心軸可形成有多於一個反光學表面以允許同時製造多個反射器。
亦有可能且有時需要在單一殼層中具有多於一個光學表面,在此狀況下,多於一個反光學表面形成於單一心軸上。具有多於一個光學表面之殼層可被視為光學系統而非光學元件。在此類光學件中,光反射多於一次。此類光學件之實例為渥特型光學件,其中第一及第二光學表面在殼層之一個側上軸向地對準。兩個光學表面可隔開或鄰接。取決於表面外形,在光學表面之間可能存在或可能不存在可偵測邊界。在光學表面之間可存在過渡區。兩個鄰近光學表面可被視為較大自由形式表面之部分。在另一實例中,光學表面圍繞殼層之圓周而配置,例如配置於其相對側上。
在一實施例中,除了所要表面外形以外,全殼層反射器之光學表面亦在其表面上具有繞射光柵。可藉由如上文所描述之相同方法製造此類反射器,惟以下情形除外:在超拋光步驟之後,全像相位光柵形成於心軸之反光學表面上。此可藉由以下操作來完成:在基板上之抗蝕劑層中執行光學全像術,從而顯影抗蝕劑並反應性離子蝕刻至心軸中。在清潔之後,可將釕塗層施加於光柵上。
當將光柵施加至心軸中時,自全殼層之心軸釋放全殼層可能更困難。然而,有用的光柵可具有不大於10 nm之深度,使得複製及釋放(使用熱膨脹)應在不太麻煩的情況下係可能的。
本發明之方法可用以製造具有廣泛大小範圍之反射器。該方法特別適合於製造光學表面之長度(圖9中之a)在50 mm至500 mm、視情況為100 mm至350 mm之範圍內且光學表面之寬度(圖9中之b或c)在10 mm至100 mm、視情況為30 mm至60 mm之範圍內的反射器。非光學表面部分之半徑可在10 mm至100 mm、視情況為30 mm至50 mm之範圍內。殼層之厚度將取決於所使用之材料。對於電沈積金屬殼層,100 μm或200 μm或更大之厚度係足夠的。
根據本發明之反射器可用於所有類型之度量衡裝置中,包括角解析散射計、光譜散射計及橢圓量測散射計。此類裝置可用以量測器件或程序之多種參數,包括疊對、焦點、劑量、臨界尺寸(CD)、臨界尺寸均一性(CDU)、側壁角(SWA)、等形層厚度、通孔接點著陸等等。
根據本發明之反射器亦可用於廣泛多種其他裝置中,包括軟或硬X射線或中子望遠鏡、軟或硬X射線或中子源及軟或硬X射線或中子束線(例如使用同步加速器)、軟或硬X射線或中子繞射裝置、或半導體光罩檢測工具。
包含包括根據本發明之一實施例之反射器之光學系統的檢測裝置可形成微影製造單元之部分,圖15中示意性地描繪了該微影製造單元。微影製造單元為整合式系統,其包含例如如圖14中示意性地所描繪之微影裝置、一或多個基板處理器件或裝置,以及檢測裝置。微影製造單元可經組態以執行利用如圖16中所描繪之緊密控制迴路的整體微影程序。下文描述了微影裝置、微影製造單元及整體微影程序。
圖14示意性地描繪微影裝置LA。微影裝置LA包括:照明系統(亦被稱作照明器) IL,其經組態以調節輻射光束B (例如UV輻射、DUV輻射或EUV輻射);光罩支撐件(例如光罩台) MT,其經建構以支撐圖案化器件(例如光罩) MA,並連接至經組態以根據某些參數準確地定位圖案化器件MA之第一定位器PM;基板支撐件(例如晶圓台) WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓) W,並連接至經組態以根據某些參數準確地定位基板支撐件之第二定位器PW;及投影系統(例如折射投影透鏡系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如包含一或多個晶粒)上。
在操作中,照射系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照明系統IL可包括用於導向、塑形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件,或其任何組合。照明器IL可用以調節輻射光束B,以在圖案化器件MA之平面處在輻射光束B之橫截面中具有所要空間及角強度分佈。
本文中所使用之術語「投影系統」PS應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。本文中對術語「投影透鏡」之任何使用可被認為與更一般之術語「投影系統」PS同義。
微影裝置LA可屬於以下類型:其中基板之至少一部分可由具有相對高折射率之液體——例如水——覆蓋,以便填充投影系統PS與基板W之間的空間,此亦被稱作浸潤微影。以引用之方式併入本文中之US6952253中給出了關於浸潤技術之更多資訊。
微影裝置LA亦可屬於具有兩個或多於兩個基板支撐件WT (亦命名為「雙載物台」)之類型。在此類「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於一個基板支撐件WT上之基板W實行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除了基板支撐件WT以外,微影裝置LA亦可包含量測載物台。量測載物台經配置以固持感測器及/或清潔器件。感測器可經配置以量測投影系統PS之屬性或輻射光束B之屬性。量測載物台可固持多個感測器。清潔器件可經配置以清潔微影裝置之部分,例如投影系統PS之部分或提供浸潤液體之系統之部分。當基板支撐件WT遠離投影系統PS時,量測載物台可在投影系統PS下方移動。
在操作中,輻射光束B入射於被固持於光罩支撐件MT上之圖案化器件——例如光罩——MA上,並由存在於圖案化器件MA上之圖案(設計佈局)圖案化。在已橫穿光罩MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置量測系統IF,基板支撐件WT可準確地移動,例如以便在聚焦及對準位置處將不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及可能另一位置感測器(其在圖1中未被明確地描繪)可用以相對於輻射光束B之路徑準確地定位圖案化器件MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2對準圖案化器件MA與基板W。儘管如所繪示之基板對準標記P1、P2佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中。當基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記被稱為切割道對準標記。
如圖15中所展示,微影裝置LA可形成有時亦被稱作微影製造單元(lithocell)或(微影)叢集之微影製造單元(lithographic cell) LC之部分,微影製造單元LC常常亦包括用以對基板W執行曝光前及曝光後程序之裝置。習知地,此等裝置包括用以沈積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、冷卻板CH及烘烤板BK,例如用於調節基板W之溫度,例如用於調節抗蝕劑層中之溶劑。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W,在不同程序裝置之間移動基板W,並將基板W遞送至微影裝置LA之裝載匣LB。常常亦統稱為塗佈顯影系統的微影製造單元中之器件通常係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身可由監督控制系統SCS控制,監督控制系統SCS亦可例如經由微影控制單元LACU控制微影裝置LA。
為了正確地且一致地曝光由微影裝置LA曝光之基板W,需要檢測基板以量測經圖案化結構之屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等等。出於此目的,可在微影製造單元LC中包括檢測工具(未展示)。若偵測到誤差,則可對後續基板之曝光或對將對基板W執行之其他處理步驟進行例如調整,尤其是在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下。
亦可被稱作度量衡裝置之檢測裝置用以判定基板W之屬性,且尤其是判定不同基板W之屬性如何變化或與同一基板W之不同層相關聯之屬性在層與層之間如何變化。檢測裝置可替代地經建構以識別基板W上之缺陷,且可例如為微影製造單元LC之部分,或可整合至微影裝置LA中,或可甚至為獨立式器件。檢測裝置可量測潛影(在曝光之後的抗蝕劑層中之影像)上之屬性,或半潛影(在曝光後烘烤步驟PEB之後的抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之經曝光部分或未曝光部分已被移除)上之屬性,或甚至為經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。
檢測工具常常亦被稱作度量衡工具MT。用於進行此類量測的不同類型之度量衡工具MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為通用器具,其允許進行以下操作:藉由在光瞳或與散射計之接物鏡之光瞳共軛的平面中具有感測器而進行微影程序之參數之量測,量測通常被稱作以光瞳為基礎之量測;或藉由在影像平面中或與影像平面共軛之平面中具有感測器而進行微影程序之參數之量測,在此狀況下,量測通常被稱作以影像或場為基礎之量測。全文以引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中進一步描述了此類散射計及關聯量測技術。前述散射計可使用來自軟x射線及可見光至近IR波長範圍之光來量測光柵。
在第一實施例中,散射計MT為角解析散射計。在此類散射計中,重新建構方法可應用於經量測信號以重新建構或計算光柵之屬性。此類重新建構可例如由於模擬經散射輻射與目標結構之數學模型之相互作用並比較模擬結果與量測之結果而引起。調整數學模型之參數,直至經模擬相互作用產生相似於自真實目標觀測到之繞射圖案的繞射圖案。
在第二實施例中,散射計MT為光譜散射計MT。在此類光譜散射計MT中,由輻射源發射之輻射被導向至目標上且來自目標之經反射或經散射輻射被導向至光譜儀偵測器上,該光譜儀偵測器量測鏡面反射輻射之光譜(亦即,依據波長而變之強度量測)。自此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重新建構產生偵測到之光譜的目標之結構或剖面。
在第三實施例中,散射計MT為橢圓量測散射計。橢圓量測散射計允許藉由針對每一偏振狀態量測經散射輻射來判定微影程序之參數。此類度量衡裝置藉由在度量衡裝置之照明區段中使用例如適當偏振濾光器來發射偏振光(諸如線性、圓形或橢圓形)。適合於度量衡裝置之源亦可提供偏振輻射。全文以引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中描述了現有橢圓量測散射計之各種實施例。
在散射計MT之一個實施例中,散射計MT經調適以藉由量測經反射光譜及/或偵測組態中之不對稱性來量測兩個未對準光柵或週期性結構之疊對,該不對稱性與該疊對之範圍相關。可將兩個(通常為重疊)光柵結構施加於兩個不同層(未必為連續層)中,且可將該兩個光柵結構實質上形成於晶圓上之同一位置處。散射計可具有如例如共同擁有之專利申請案EP1,628,164A中所描述之對稱偵測組態,使得可清楚地辨別任何不對稱性。此提供直接方式來量測光柵中之未對準。可在全文以引用之方式併入本文中之PCT專利申請公開案第WO 2011/012624號或美國專利申請案US 2016/0161863中找到用於隨著經由週期性結構之不對稱性量測目標而量測含有週期性結構之兩個層之間的疊對誤差的另外實例。
其他所關注參數可為焦點及劑量。可藉由散射量測(或替代地藉由掃描電子顯微法)同時判定焦點及劑量,如全文以引用之方式併入本文中之美國專利申請案US2011/0249244中所描述。可使用具有針對焦點能量矩陣(Focus Exposure Matrix,FEM——亦被稱作焦點曝光矩陣)中之每一點之臨界尺寸及側壁角量測之唯一組合的單一結構。若可得到臨界尺寸及側壁角之此等唯一組合,則可自此等量測唯一地判定焦點及劑量值。
度量衡目標可為藉由微影程序主要在抗蝕劑中形成但亦在例如蝕刻程序之後形成的複合光柵之集體。通常,光柵中之結構之間距及線寬強烈地取決於量測光學件(尤其是光學件之NA)以能夠捕捉來自度量衡目標之繞射階。如早先所指示,經繞射信號可用以判定兩個層之間的移位(亦被稱作「疊對」),或可用以重新建構如藉由微影程序所產生之原始光柵之至少部分。此重新建構可用以提供微影程序之品質指導,且可用以控制微影程序之至少部分。目標可具有較小子分段,其經組態以模仿目標中之設計佈局之功能部分之尺寸。歸因於此子分段,目標將表現得更相似於設計佈局之功能部分,使得總體程序參數量測較佳地類似於設計佈局之功能部分。可在填充不足模式下或在填充過度模式下量測目標。在填充不足模式下,量測光束產生小於總體目標之光點。在填充過度模式下,量測光束產生大於總體目標之光點。在此類填充過度模式下,亦也許有可能同時量測不同目標,因此同時判定不同處理參數。
使用特定目標之微影參數之總體量測品質至少部分地由用以量測此微影參數之量測配方判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案之一或多個參數,或此兩者。舉例而言,若用於基板量測配方中之量測為以繞射為基礎之光學量測,則量測之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案之定向等等。用以選擇量測配方之準則中之一者可例如為量測參數中之一者對處理變化之敏感度。全文以引用之方式併入本文中之美國專利申請案US2016/0161863及美國專利申請案US 2016/0370717 A1中描述了更多實例。
通常,微影裝置LA中之圖案化程序為處理中之最關鍵步驟中之一者,其需要基板W上之結構之尺寸標定及置放的高準確度。為了確保此高準確度,可將三個系統組合於所謂的「整體」控制環境中,如圖16中示意性地所描繪。此等系統中之一者為微影裝置LA,其(虛擬上)連接至度量衡工具MT (第二系統)並連接至電腦系統CL (第三系統)。此類「整體」環境之關鍵係最佳化此等三個系統之間的合作以增強總體程序窗並提供緊密控制迴路,以確保由微影裝置LA執行之圖案化保持於程序窗內。程序窗界定程序參數(例如劑量、焦點、疊對)之範圍,在該範圍內,特定製造程序得到經界定結果(例如功能半導體器件)——通常,在該範圍內,允許微影程序或圖案化程序中之程序參數變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測將使用哪些解析度增強技術,並執行計算微影模擬及計算以判定哪些光罩佈局及微影裝置設定達成圖案化程序之最大總體程序窗(在圖3中由呈第一尺度SC1之雙箭頭描繪)。通常,解析度增強技術經配置以匹配微影裝置LA之圖案化可能性。電腦系統CL亦可用以偵測在程序窗內何處微影裝置LA當前正操作(例如使用來自度量衡工具MT之輸入)以預測是否可歸因於例如次最佳處理而存在缺陷(在圖3中由呈第二尺度SC2之指向「0」之箭頭描繪)。
度量衡工具MT可將輸入提供至電腦系統CL以使能夠進行準確模擬及預測,且可將回饋提供至微影裝置LA以識別例如微影裝置LA之校準狀態之可能漂移(在圖16中由呈第三尺度SC3之多個箭頭描繪)。
在後續編號條項中提供本發明之另外實施例: 1. 一種反射器,其包含一中空本體,該中空本體具有界定通過該中空本體之一通道之一內部表面,該內部表面具有經組態以反射輻射之至少一個光學表面部分以及一支架表面部分,其中該光學表面部分具有一預定光學功率且該支架表面部分不具有該預定光學功率。 2. 如技術方案1之反射器,其中該或該等光學表面部分具有一第一粗糙度且該支架表面部分具有一第二粗糙度,該第一粗糙度小於該第二粗糙度。 3. 如技術方案2之反射器,其中該第一粗糙度小於100 pm均方根(RMS),視情況小於50 pm RMS,視情況小於35 pm RMS。 4. 如技術方案1、2或3之反射器,其中該光學表面部分在垂直於該通道之一軸線之一平面中具有一第一曲率半徑,且該支架表面部分在該平面中具有一第二曲率半徑,該第一曲率半徑之絕對值大於該第二曲率半徑。 5. 如技術方案4之反射器,其中該支架表面部分在該平面中之橫截面在該軸線處對向大於180°之一角度。 6. 如前述技術方案中任一項之之反射器,其中該通道之橫截面自其一個端至另一端單調地增大。 7. 如前述技術方案中任一項之反射器,其進一步包含設置於該光學表面部分上之一反射增強層。 8. 如前述技術方案中任一項之反射器,其中該本體包含由選自由以下各者組成之群組之一材料形成的一殼層:鎳、樹脂、玻璃及熱塑性聚合物。 9. 如技術方案8之反射器,其進一步包含在該殼層外部之一加強件。 10. 如前述技術方案中任一項之反射器,其中該光學表面部分在1 nm至50 nm之範圍內之一波長下在一掠入射下具有大於70%、視情況大於80%之一反射率。 11. 一種光學單元,其包含兩個如前述技術方案中任一項之反射器,其中該兩個反射器經配置使得一個反射器將光反射至另一反射器,且該兩個反射器之子午平面垂直。 12. 一種檢測裝置,其包含一光學系統,該光學系統包括一如技術方案1至10中任一項之反射器或一如技術方案11之光學單元。 13. 一種製造一反射器之方法,該方法包含: 提供一軸向對稱心軸; 塑形該心軸之圓周表面之一部分以形成圍繞該心軸之軸線不旋轉對稱之至少一個反光學表面部分; 圍繞該心軸形成一反射器本體;及 自該心軸釋放該反射器本體,藉以該反射器本體具有由該反光學表面部分界定之一光學表面及由該心軸之外部表面之其餘部分界定之一支架表面部分。 14. 如技術方案13之方法,其中塑形該心軸之外部表面之一部分包含使用選自由以下各者組成之群組之一程序的一超拋光步驟:磁流變流體精整(MRF)、流體噴射拋光(FJP)、彈性發射加工(EEM)、離子束修形及浮法拋光。 15. 如技術方案14之方法,其中執行該超拋光步驟,使得該反光學表面部分具有小於100 pm均方根(RMS)、視情況小於50 pm RMS、視情況小於35 pm RMS之一表面粗糙度。 16. 如技術方案13、14或15中任一項之方法,其進一步包含將一反射增強單層或多層塗層施加至該反射器本體之該光學表面部分。 17. 如技術方案13至16中任一項之方法,其進一步包含在該塑形之後及在該形成之前將一電極及釋放層施加至該心軸。 18. 如技術方案13至17中任一項之方法,其進一步包含重複該等形成及釋放步驟以使用該同一心軸形成複數個反射器本體。 19. 如技術方案13至18中任一項之方法,其進一步包含在該釋放之前或之後將一加強件施加至該反射器本體之外部。 20. 如技術方案14至19中任一項之方法,其中形成一反射器本體包含電沈積一金屬。 21. 一種用於製造一反射器之心軸,該心軸之外部表面具有一第一表面部分及一反光學表面部分,該第一表面部分與一軸向對稱外形等形,該反光學表面部分在該軸向對稱外形內並對應於具有一預定光學功率之一光學表面。
儘管可在本文中特定地參考度量衡裝置在IC製造中之使用,但應理解,本文中所描述之裝置可具有其他應用。可能之其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(liquid-crystal display,LCD)、薄膜磁頭等等。
儘管在本文中特定地參考「度量衡裝置」或「檢測裝置」,但兩個術語亦可指檢測裝置或檢測系統。舉例而言,包含本發明之一實施例的檢測或度量衡裝置可用以判定基板上或晶圓上之結構之特性。舉例而言,包含本發明之一實施例的檢測裝置或度量衡裝置可用以偵測基板之缺陷或基板上或晶圓上之結構之缺陷。在此類實施例中,基板上之結構之所關注特性可與結構中之缺陷、結構之特定部分之不存在或基板上或晶圓上之非想要結構之存在相關。
儘管可在本文中特定地參考在微影裝置之內容背景中的本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成光罩檢測裝置、微影裝置或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化器件)之物件的任何裝置之部分。此等裝置通常可被稱作微影工具。此類微影工具可使用真空條件或環境(非真空)條件。
儘管上文可特定地參考在光學微影之內容背景中對本發明之實施例之使用,但應瞭解,在內容背景允許的情況下,本發明並不限於光學微影,且可用於其他應用,例如壓印微影。
以上描述涉及HHG源之使用。HHG係指高次諧波產生,其有時亦被稱作高階諧波產生(high order harmonic generation)。HHG為非線性程序,其中由密集雷射脈衝照明目標,例如氣體、電漿或固體樣本。隨後,目標可發射頻率為雷射脈衝之輻射之頻率之倍數的輻射。為倍數之此類頻率被稱為雷射脈衝之輻射之諧波。高於五次諧波之諧波可被稱作高次諧波,且含有該等諧波之輻射可被稱作HHG輻射。產生HHG輻射之物理程序不同於與產生低次諧波——通常為2次至5次諧波——之輻射相關的物理程序。低次諧波之輻射之產生與擾動理論相關。目標中之原子之(束縛)電子之軌跡實質上由基質離子之庫侖電位判定。在HHG中,促成HHG程序之電子之軌跡實質上由入射雷射光之電場判定。在描述HHG之所謂的「三步驟模型」中,電子隧穿通過在彼時刻實質上由雷射場抑制之庫侖障壁(步驟1),遵循由雷射場判定之軌跡(步驟2),並在釋放其動能加呈輻射形式之離子化能量時以某一機率重組(步驟3)。HHG與低次諧波之輻射之產生之間的差異之替代定義為,光子能量高於目標原子之離子化能量的所有輻射為「高次諧波」輻射,例如HHG產生輻射,且光子能量低於離子化能量之所有輻射為非HHG產生輻射。若將氖氣用作氣體目標,則藉助於HHG程序產生波長短於62 nm (光子能量高於20.18 eV)之所有輻射。對於作為氣體目標之氬氣,藉助於HHG程序產生光子能量高於約15.8 eV之所有輻射。
儘管上文已描述本發明之特定實施例,但應瞭解,可以與所描述方式不同之其他方式來實踐本發明。以上描述意欲係說明性而非限制性的。因此,對於熟習此項技術者而言將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。
101:鏡面 102:鏡面 200:心軸/預成形物 201:反光學表面 202:釋放層 203:殼層 204:光學表面部分 205:非光學表面部分 206:肋狀物 207:固持器 208:低應力黏著劑 300:驅動雷射 302:檢測裝置 310:輻射源 312:照明系統 314:參考偵測器 315:信號 316:基板支撐件 318:偵測系統 320:度量衡處理器 330:驅動雷射 332:高次諧波產生(HHG)氣胞 334:氣體供應件 336:電源 340:第一輻射光束 342:第二輻射光束 344:濾光器件 350:檢測腔室 352:真空泵 356:經聚焦光束 360:經反射輻射 372:位置控制器 374:感測器 382:光譜資料 397:經繞射輻射 398:偵測系統 399:信號 202a:殘餘物 202b:殘餘物 203a:全殼層反射器 203b:全殼層反射器 207a:突出部 a:長度 b:寬度 B:輻射光束 BD:光束遞送系統 BK:烘烤板 c:寬度 C:目標部分 CH:冷卻板 CL:電腦系統 DE:顯影器 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 IF:位置量測系統 IL:照明系統 LA:微影裝置 LACU:微影控制單元 LB:裝載匣 LC:微影製造單元 M1:光罩對準標記 M2:光罩對準標記 MA:圖案化器件 MP:子午平面 MT:光罩支撐件 P1:基板對準標記 P2:基板對準標記 PM:第一定位器 PS:投影系統 PW:第二定位器 RO:基板處置器/機器人 S:輻射光點 SC:旋塗器 SC1:第一尺度 SC2:第二尺度 SC3:第三尺度 SCS:監督控制系統 SO:輻射源 SP:矢狀平面 T:所關注結構 TCU:塗佈顯影系統控制單元 W:基板 WT:基板支撐件 X:方向 Y:方向 Z:方向
現在將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在圖式中: - 圖1描繪度量衡裝置之示意性概觀; - 圖2為Kirkpatrick-Baez交叉鏡面對之示意性描繪; - 圖3繪示反射器之子午及矢狀平面; - 圖4將軸向對稱心軸描繪為根據本發明之方法之開始點; - 圖5描繪形成於圖4上之心軸上之反光學表面; - 圖6描繪運用釋放層塗佈心軸; - 圖7描繪沈積於心軸上之殼層; - 圖8描繪自心軸移除殼層; - 圖9描繪塗佈有反射層的殼層之內部; - 圖10描繪設置於殼層之外部上之加強件; - 圖11描繪置放於加強件中之殼層; - 圖12描繪置放於替代形式之加強件中之殼層; - 圖13描繪根據本發明製造之兩個反射器,其形成Kirkpatrick-Baez鏡面對; - 圖14描繪微影裝置; - 圖15描繪微影製造單元;且 - 圖16描繪整體微影方法。
200:心軸/預成形物
202:釋放層

Claims (15)

  1. 一種反射器,其包含一中空本體,該中空本體具有界定通過該中空本體之一通道之一內部表面,該內部表面具有經組態以反射輻射之至少一個光學表面部分以及一支架表面部分,其中該光學表面部分具有一預定光學功率且該支架表面部分不具有該預定光學功率。
  2. 如請求項1之反射器,其中該或該等光學表面部分具有一第一粗糙度且該支架表面部分具有一第二粗糙度,該第一粗糙度小於該第二粗糙度; 且視情況,該第一粗糙度小於100 pm均方根(RMS),視情況小於50 pm RMS,視情況小於35 pm RMS。
  3. 如請求項1或2之反射器,其中該光學表面部分在垂直於該通道之一軸線之一平面中具有一第一曲率半徑,且該支架表面部分在該平面中具有一第二曲率半徑,該第一曲率半徑之絕對值大於該第二曲率半徑。
  4. 如請求項3之反射器,其中該支架表面部分在該平面中之橫截面在該軸線處對向大於180°之一角度。
  5. 如請求項1或2之反射器,其中該通道之橫截面自其一個端至另一端單調地增大。
  6. 如請求項1或2之反射器,其進一步包含設置於該光學表面部分上之一反射增強層。
  7. 如請求項1或2之反射器,其中該本體包含由選自由以下各者組成之群組之一材料形成的一殼層:鎳、樹脂、玻璃及熱塑性聚合物; 且視情況,該反射器進一步包含在該殼層外部之一加強件。
  8. 如請求項1或2之反射器,其中該光學表面部分在1 nm至50 nm之範圍內之一波長下在一掠入射下具有大於70%、視情況大於80%之一反射率。
  9. 一種光學單元,其包含兩個如請求項1至8中任一項之反射器,其中該兩個反射器經配置使得一個反射器將光反射至另一反射器,且該兩個反射器之子午平面垂直。
  10. 一種檢測裝置,其包含一光學系統,該光學系統包括一如請求項1至8中任一項之反射器或一如請求項9之光學單元。
  11. 一種製造一反射器之方法,該方法包含: 提供一軸向對稱心軸; 塑形該心軸之圓周表面之一部分以形成圍繞該心軸之軸線不旋轉對稱之至少一個反光學表面部分; 圍繞該心軸形成一反射器本體;及 自該心軸釋放該反射器本體,藉以該反射器本體具有由該反光學表面部分界定之一光學表面及由該心軸之外部表面之其餘部分界定之一支架表面部分。
  12. 如請求項11之方法,其中塑形該心軸之外部表面之一部分包含使用選自由以下各者組成之群組之一程序的一超拋光步驟:磁流變流體精整(MRF)、流體噴射拋光(FJP)、彈性發射加工(EEM)、離子束修形及浮法拋光。
  13. 如請求項11之方法,其中執行該超拋光步驟,使得該反光學表面部分具有小於100 pm均方根(RMS)、視情況小於50 pm RMS、視情況小於35 pm RMS之一表面粗糙度。
  14. 如請求項11至13中任一項之方法,其進一步包含將一反射增強單層或多層塗層施加至該反射器本體之該光學表面部分。
  15. 如請求項11至13中任一項之方法,其進一步包含在該塑形之後及在該形成之前將一電極及釋放層施加至該心軸。
TW108120287A 2018-06-15 2019-06-12 反射器及其製造方法、光學單元及檢測裝置 TWI742384B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18178127.9 2018-06-15
EP18178127.9A EP3582009A1 (en) 2018-06-15 2018-06-15 Reflector and method of manufacturing a reflector

Publications (2)

Publication Number Publication Date
TW202013393A true TW202013393A (zh) 2020-04-01
TWI742384B TWI742384B (zh) 2021-10-11

Family

ID=62705440

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108120287A TWI742384B (zh) 2018-06-15 2019-06-12 反射器及其製造方法、光學單元及檢測裝置

Country Status (8)

Country Link
US (2) US11145428B2 (zh)
EP (2) EP3582009A1 (zh)
JP (1) JP7286683B2 (zh)
KR (1) KR102579721B1 (zh)
CN (1) CN112292639B (zh)
IL (1) IL279369A (zh)
TW (1) TWI742384B (zh)
WO (1) WO2019238382A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
US11680909B2 (en) * 2020-05-14 2023-06-20 The Boeing Company Automated inspection of foreign materials, cracks and other surface anomalies

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3872349A (en) * 1973-03-29 1975-03-18 Fusion Systems Corp Apparatus and method for generating radiation
US5001737A (en) * 1988-10-24 1991-03-19 Aaron Lewis Focusing and guiding X-rays with tapered capillaries
EP0723272B1 (en) * 1994-07-08 2001-04-25 Muradin Abubekirovich Kumakhov Method of guiding beams of neutral and charged particles and a device for implementing said method
US5741445A (en) * 1996-02-06 1998-04-21 Cvd, Incorporated Method of making lightweight closed-back mirror
US6859328B2 (en) * 1998-05-05 2005-02-22 Carl Zeiss Semiconductor Illumination system particularly for microlithography
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6278764B1 (en) * 1999-07-22 2001-08-21 The Regents Of The Unviersity Of California High efficiency replicated x-ray optics and fabrication method
EP1152555A1 (en) * 2000-05-03 2001-11-07 Media Lario S.r.L. Telescope mirror for high bandwidth free space optical data transmission
US6847700B1 (en) * 2001-01-19 2005-01-25 Florida Institute Of Technology Method and apparatus for delivery of x-ray irradiation
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
FR2866438B1 (fr) 2004-02-16 2006-08-11 Agence Spatiale Europeenne Element optique reflecteur, son procede de fabrication, et instrument optique mettant en oeuvre de tels elements
KR20070012459A (ko) * 2004-05-10 2007-01-25 코닌클리케 필립스 일렉트로닉스 엔.브이. 광학 정밀 측정을 위한 디바이스 및 방법
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8142691B2 (en) 2004-09-30 2012-03-27 Lawrence Livermore National Security, Llc Thermal casting of polymers in centrifuge for producing X-ray optics
WO2006050891A2 (en) * 2004-11-09 2006-05-18 Carl Zeiss Smt Ag A high-precision optical surface prepared by sagging from a masterpiece
EP1825316A1 (en) 2004-12-09 2007-08-29 Koninklijke Philips Electronics N.V. Illumination system
TWI330762B (en) * 2005-03-29 2010-09-21 Asml Netherlands Bv Seal of a lithographic apparatus, lithographic apparatus, device manufacturing method and data storage medium
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
EP1882984B1 (en) * 2006-07-28 2011-10-12 Media Lario s.r.l. Multi-reflection optical systems and their fabrication
JP4888046B2 (ja) * 2006-10-26 2012-02-29 ウシオ電機株式会社 極端紫外光光源装置
TWM311850U (en) * 2006-11-30 2007-05-11 Moduled Inc Light-reflecting holder
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
SG152187A1 (en) 2007-10-25 2009-05-29 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036768A1 (nl) * 2008-04-29 2009-10-30 Asml Netherlands Bv Radiation source.
NL2002883A1 (nl) 2008-06-26 2009-12-29 Asml Netherlands Bv Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
US8810775B2 (en) 2010-04-16 2014-08-19 Media Lario S.R.L. EUV mirror module with a nickel electroformed curved mirror
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
JP2013021293A (ja) * 2011-03-29 2013-01-31 Gigaphoton Inc レーザ装置、レーザシステムおよび極端紫外光生成装置
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
US9551827B2 (en) * 2012-01-11 2017-01-24 3M Innovative Properties Company Hollow light duct bend
US9817173B2 (en) 2012-02-17 2017-11-14 3M Innovative Properties Company Anamorphic light guide
KR101948141B1 (ko) * 2012-02-23 2019-02-14 엘지이노텍 주식회사 백라이트 유닛 및 그를 이용한 조명 시스템
US8735844B1 (en) * 2012-03-26 2014-05-27 Massachusetts Institute Of Technology Compact neutron imaging system using axisymmetric mirrors
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
KR102010941B1 (ko) 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
KR102162234B1 (ko) 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
JP6823334B2 (ja) 2015-08-10 2021-02-03 国立大学法人 東京大学 高na集光素子の出口波面計測方法及び出口波面計測システム
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US10890849B2 (en) * 2016-05-19 2021-01-12 Nikon Corporation EUV lithography system for dense line patterning
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector

Also Published As

Publication number Publication date
EP3807718A1 (en) 2021-04-21
JP2021527218A (ja) 2021-10-11
US20190385760A1 (en) 2019-12-19
US11694821B2 (en) 2023-07-04
US20210383940A1 (en) 2021-12-09
IL279369A (en) 2021-01-31
CN112292639B (zh) 2023-11-28
US11145428B2 (en) 2021-10-12
KR102579721B1 (ko) 2023-09-15
CN112292639A (zh) 2021-01-29
WO2019238382A1 (en) 2019-12-19
KR20210010906A (ko) 2021-01-28
TWI742384B (zh) 2021-10-11
EP3582009A1 (en) 2019-12-18
JP7286683B2 (ja) 2023-06-05

Similar Documents

Publication Publication Date Title
TWI739103B (zh) 照明源裝置、其檢測方法、微影裝置及度量衡裝置
US11129266B2 (en) Optical system, metrology apparatus and associated method
US11694821B2 (en) Reflector and method of manufacturing a reflector
CN110945436B (zh) 用于参数确定的方法及其设备
US11619887B2 (en) Assembly for collimating broadband radiation
US20220397834A1 (en) Measuring method and measuring apparatus
US11675276B2 (en) Metrology apparatus and photonic crystal fiber
US20220134693A1 (en) Reflector manufacturing method and associated reflector
NL2022635A (en) Reflector manufacturing method and associated reflector