JP2022016364A - キャビティ構造を有する集積チップ - Google Patents

キャビティ構造を有する集積チップ Download PDF

Info

Publication number
JP2022016364A
JP2022016364A JP2021112908A JP2021112908A JP2022016364A JP 2022016364 A JP2022016364 A JP 2022016364A JP 2021112908 A JP2021112908 A JP 2021112908A JP 2021112908 A JP2021112908 A JP 2021112908A JP 2022016364 A JP2022016364 A JP 2022016364A
Authority
JP
Japan
Prior art keywords
segment
metal feature
sacrificial
layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021112908A
Other languages
English (en)
Inventor
希文 田
Xi Wen Tian
忠儒 李
zhong ru Li
志偉 呂
Zhi Wei Lu
欣潔 姚
xin jie Yao
曉林 ▲睦▼
Shau-Lin Shue
羽騰 戴
Yu Teng Dai
韋豪 廖
wei hao Liao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022016364A publication Critical patent/JP2022016364A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Figure 2022016364000001
【課題】第1の金属フィーチャと第2の金属フィーチャとの間の静電容量を低減する集積チップ及びその形成方法を提供する。
【解決手段】集積チップ100において、第1の金属フィーチャ138aは、層間絶縁膜(ILD)層112上にあり、第2の金属フィーチャ138bは、第1の金属フィーチャ138aに横方向に隣接する。第1の誘電体ライナーセグメント140aは、第1、第2の金属フィーチャと138a、138bの間に横方向に延在する。第1のキャビティ148aは、第1の誘電体ライナーセグメント140aの側壁の間の横方向、かつ、第1の誘電体ライナーセグメント140の上面の上にあり、空気、窒素、酸素、比較的低い誘電率を有するいくつかの他の適切な物質などを含む。
【選択図】図1

Description

多くの現代の集積チップは、能動半導体デバイス(例えば、トランジスタ)及び/又は受動半導体デバイス(例えば、抵抗器、ダイオード、コンデンサ)などの何百万もの半導体デバイスを含む。半導体デバイスは、層間絶縁膜(ILD)層に沿って、集積チップ上の半導体デバイスの上に形成される配線工程(BEOL)用金属配線層によって電気的に相互接続される。典型的な集積チップは、複数の誘電体層と、金属接点(すなわち、ビア)と共に上下に結合された異なるサイズの金属ワイヤを含む複数の配線工程用金属配線層とを含む。
本発明の態様は、添付図面を参照しながら、以下の詳細な説明から最もよく理解される。業界の標準的技法に従って、様々なフィーチャが一定のスケールで描かれていないことに注意すべきである。実際、様々なフィーチャの寸法は、説明を明確にするために任意に増減できる。
第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップのいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップのいくつかの実施形態の上面レイアウト図を示す。 第1の金属フィーチャの側壁に第1の犠牲セグメントを含む集積チップのいくつかの実施形態の断面図を示す。 誘電体ライナー上に第2の犠牲セグメントを含む集積チップのいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の断面図を示す。 第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法のいくつかの実施形態の流れ図を示す。
以下の開示は、提供された主題の異なる特徴を実施するための多くの異なる実施形態又は例を提供する。以下、本開示を簡略化するために、コンポーネントおよび配置の特定の例を説明する。もちろん、これらは、一例に過ぎず、これらに限定されるものではない。例えば、以下の説明における第2の特徴の上方又は上の第1の特徴の形成は、第1と第2の特徴が直接接触して形成される実施形態を含んでもよく、また、第1と第2の特徴が直接接触しないように、追加の特徴が第1と第2の特徴の間に形成され得る実施形態を含んでもよい。また、本開示は、様々な例において符号及び/又は文字を繰り返してもよい。この繰り返しは、単純さと明快さを目的としており、それ自体では、説明した様々な実施形態及び/又は構成の間の関係を示すものではない。
さらに、図示されているように、ここで、ある要素又は構造と別の要素又は構造との関係を説明しやすくするために、「下方」、「下」、「下部」、「上方」、「上部」などのような空間的に相対的な用語を使用することができる。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中の装置の異なる方向を包含することを意図している。該装置は、他の方向に配向されてもよく(90度又は他の配向に回転されてもよい)、ここで使用される空間的に相対的な記述子は、同様にそれに応じて解釈され得る。
集積チップは、層間絶縁膜(ILD)層によって互いに横方向に分離された基板上の複数の金属線を含む。ILD層は、誘電体材料を含み、複数の金属線を互いに電気的に絶縁するためのものである。しかしながら、複数の金属線の間にあるILD層の誘電率に依存する複数の金属線の間に静電容量が存在する。複数の金属線間の静電容量は、集積チップのスイッチング速度に影響を与える抵抗容量性(RC)遅延に役立つ。さらに、ILD層の誘電率は、所望の誘電率よりも高くなる可能性があるため、望ましくない集積チップのRC遅延の一因となる可能性がある。結果として、集積チップの全体的な性能は、望ましいとは言えない可能性がある。
本開示の様々な実施形態は、第1の金属フィーチャと第2の金属フィーチャとの間の静電容量を低減するための、第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップに関する。第1の金属フィーチャは、基板上にある。第2の金属フィーチャは、基板上にあり、第1の金属フィーチャに横方向に隣接する。第1の誘電体ライナーセグメントは、第1の金属フィーチャと第2の金属フィーチャとの間に横方向にある。第1の誘電体ライナーセグメントは、第2の金属フィーチャに対向する第1の金属フィーチャの側壁に配置され、第1の金属フィーチャに対向する第2の金属フィーチャの側壁に配置される。さらに、第1のキャビティは、第1の金属フィーチャと第2の金属フィーチャとの間に横方向にある。第1のキャビティは、少なくとも部分的に、第1の誘電体ライナーセグメントの側壁及び上面によって規定される。第1のキャビティは、例えば、空気、又は比較的低い誘電率を有する他の適切な物質を含んでよい。
第1の金属フィーチャと第2の金属フィーチャとの間に横方向にある第1のキャビティを含むことにより、第1の金属フィーチャと第2の金属フィーチャとの間の正味誘電率を低減することができる。したがって、第1の金属フィーチャと第2の金属フィーチャとの間の静電容量を低減することもできる。結果として、集積チップのRC遅延が低減されてよく、それにより、集積チップの性能は改善される。
図1は、第1の金属フィーチャ138aと第2の金属フィーチャ138aとの間に第1のキャビティ148aを含む集積チップ100のいくつかの実施形態の断面図を示す。
そのような実施形態では、集積チップ100は、基板102と、基板102内及び/又は基板上の複数の半導体デバイス104とを含む。第1の相互接続構造106は、基板102上に配置されてよく、例えば、1つ以上の金属線、1つ以上の金属ビア、1つ以上の接点、1つ以上の誘電体層などを含んでよい。第1のエッチングストップ層108は、第1の相互接続構造106上にあり、層間絶縁膜(ILD)層112は、第1のエッチングストップ層108上にある。
さらに、複数の金属フィーチャ138は、ILD層112上にある。複数の金属フィーチャ138は、複数の誘電体ライナーセグメント140及び複数のキャビティ148によって横方向に分離される。複数の金属フィーチャ138のいずれかは、例えば、金属線(例えば、138m)、金属ビア(例えば、138v)、いくつかの他の金属フィーチャなどのいずれかであってよいか、又はそれらを含んでよい。
例えば、第1の金属フィーチャ138aは、ILD層112上にあり、第2の金属フィーチャ138bに横方向に隣接する。第1の金属フィーチャ138aは、例えば、金属線138mであってよく、第2の金属フィーチャ138bは、例えば、金属線138m及び金属ビア138vを含んでよい。さらに、第1の誘電体ライナーセグメント140aは、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間に横方向に延在する。第1の誘電体ライナーセグメント140aは、第2の金属フィーチャ138bに対向する第1の金属フィーチャ138aの側壁から、ILD層112の頂面に沿って、さらに第1の金属フィーチャ138aに対向する第2の金属フィーチャ138bの側壁まで連続して延在する。さらに、第1のキャビティ148aも、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間に横方向にある。第1のキャビティ148aは、少なくとも部分的に、第1の誘電体ライナーセグメント140aの側壁及び上面によって規定される。
いくつかの実施形態では、第1のキャビティ148aを規定する第1の誘電体ライナーセグメント140aの上面は、第1の金属フィーチャ138aの底面の上、及び第2の金属フィーチャ138bの底面の上にある。したがって、第1のキャビティ148aの底部は、第1の金属フィーチャ138aの底面の上にあり、第2の金属フィーチャ138bの底面の上にある。
いくつかの実施形態では、第2の金属フィーチャ138bは、ILD層112と第1のエッチングストップ層108を通って、第1の相互接続構造106まで延在する。例えば、第2の金属フィーチャ138bは、第1の相互接続構造106を介して、複数の半導体デバイス104のうちの1つ以上に電気的に接続されてよい。
第2のエッチングストップ層146は、複数の金属フィーチャ138の頂面、複数のキャビティ148の頂面、及び複数の誘電体ライナーセグメント140の頂面に延在する。第2のエッチングストップ層146の1つ以上の底面は、複数のキャビティ148の上部を規定する。例えば、第2のエッチングストップ層146の底面は、第1のキャビティ148aをさらに規定する。
いくつかの実施形態では、複数のキャビティ148のいずれかは、例えば、空気、窒素、酸素、比較的低い誘電率を有するいくつかの他の適切な物質などを含んでもよい。
第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間に横方向にある第1のキャビティ148aを含むことにより、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間の正味誘電率を低減することができ、それにより、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間の静電容量を低減する。結果として、集積チップ100のRC遅延が低減されてよく、それにより、集積チップ100の性能は改善される。
いくつかの実施形態では、第2の相互接続構造150は、第2のエッチングストップ層146上にあってよく、例えば、1つ以上の金属線、1つ以上の金属ビア、1つ以上の半田バンプ、1つ以上の誘電体層などを含んでよい。
基板102は、例えば、シリコン、他の半導体などを含んでよい。複数の半導体デバイス104は、例えば、金属酸化物半導体電界効果トランジスタ(MOSFET)、バイポーラ接合トランジスタ(BJT)、接合型電界効果トランジスタ(JFET)、絶縁ゲートバイポーラトランジスタ(IGBT)などのいずれかであってよい。
第1のエッチングストップ層108と第2のエッチングストップ層146のいずれかは、例えば、炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸窒化アルミニウム、酸化アルミニウム、窒化アルミニウムなどを含んでよく、かつy軸101yに沿った約10~1000オングストロームの厚さ又は他の適切な厚さを有してよい。
ILD層112と複数の誘電体ライナーセグメント140のいずれかは、例えば、炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素などを含んでよく、かつy軸101yに沿った約30~800オングストロームの厚さ又は他の適切な厚さを有してよい。いくつかの実施形態では、ILD層112及び複数の誘電体ライナーセグメント140は、異なる材料を含んでよい。
複数の金属フィーチャ138は、例えば、タンタル、窒化タンタル、窒化チタン、銅、コバルト、ルテニウム、モリブデン、イリジウム、タングステンなどを含んでよく、かつy軸101yに沿った約10~1000オングストロームの厚さ又は他の適切な厚さをを有してよい。
さらに、x軸101xに沿って測定された場合の複数の金属フィーチャ138の幅は、約5~3000ナノメートルであってよい。さらに、x軸101xに沿って延在する第2の金属フィーチャ138bの底面に沿った第2の金属フィーチャ138bの幅は、例えば、約5~300nmであってよい。いくつかの実施形態では、複数の金属フィーチャ138の底面と複数の金属フィーチャ138の側壁との間の角度は、約50度~95度であってよい。さらに、第2の金属フィーチャ138bの底面と第2の金属フィーチャ138bの側壁との間の角度は、例えば、約40度~90度であってよい。
第1の相互接続構造106が金属フィーチャ138の下に示されるが、他のいくつかの実施形態では、第1の相互接続構造106は、集積チップ100から省略されてよい。したがって、複数の金属フィーチャ138のいずれかは、複数の半導体デバイス104のいずれかに直接接続されてよい。
図2は、第1の金属フィーチャ138aと第2の金属フィーチャ138aとの間に第1のキャビティ148aを含む集積チップ200のいくつかの実施形態の上面レイアウト図を示す。
そのような実施形態では、第1の金属フィーチャ138a及び第2の金属フィーチャ138bの頂面は、z軸101zに沿って延在する長さを有する。さらに、第1のキャビティ148aを部分的に規定する第1の誘電体ライナーセグメント140aも、z軸に沿って延在する長さを有する。第1の誘電体ライナーセグメント140a及び第1のキャビティ148aは、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間のz軸に沿って延在する。
図3は、第1の金属フィーチャ138aの側壁に第1の犠牲セグメント124aを含む集積チップ300のいくつかの実施形態の断面図を示す。
そのような実施形態では、複数の第1の犠牲セグメント124は、複数の金属フィーチャ138と複数の誘電体ライナーセグメント140との間の複数の金属フィーチャ138のいずれかの側壁上にあってよい。例えば、第1の犠牲セグメント124aは、第1の犠牲セグメント除去プロセス中において第1の金属フィーチャ138aの側壁から完全に除去されないため(例えば、図14及び15を参照)、第1の金属フィーチャ138aと第1の誘電体ライナーセグメント140aとの間の第1の金属フィーチャ138aの側壁上にあってよい。いくつかの実施形態では、第1の犠牲セグメント124aは、第2の金属フィーチャ138bの側壁及びILD層112の上面に配置されてもよい。
複数の第1の犠牲セグメント124は、例えば、窒化チタン、酸化チタン、タングステンドープ炭素、酸化ハフニウム、酸化ジルコニウム、酸化亜鉛、酸化チタンジルコニウム、炭化ケイ素、二酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸化アルミニウム、酸窒化アルミニウムなどのいずれかを含んでよい。
図4は、第1の誘電体ライナーセグメント140a上に第2の犠牲セグメント144aを含む集積チップ400のいくつかの実施形態の断面図を示す。
そのような実施形態では、複数の第2の犠牲セグメント144は、複数の誘電体ライナーセグメント140の側壁、複数の誘電体ライナーセグメント140の上面、及び第2のエッチングストップ層146の1つ以上の下面のいずれかにあってよい。例えば、第2の犠牲セグメント144aは、第2の犠牲セグメント除去プロセス中において完全に除去されないため(例えば、図18及び19を参照)、第1の誘電体ライナーセグメント140aの側壁、第1の誘電体ライナーセグメント140aの上面、及び第2のエッチングストップ層146の下面のいずれかにあってもよい。
複数の第2の犠牲セグメント144は、例えば、窒化チタン、酸化チタン、タングステンドープ炭素、酸化ハフニウム、酸化ジルコニウム、酸化亜鉛、酸化チタンジルコニウム、炭化ケイ素、二酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸化アルミニウム、酸窒化アルミニウムなどのいずれかを含んでよい。いくつかの実施形態では、複数の第2の犠牲セグメント144及び複数の第1の犠牲セグメント(例えば、図3の124)は、異なる材料を含む。
図5~20は、第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間に第1のキャビティ148aを含む集積チップのいくつかの実施形態の断面図500~2000を示す。図5~20は方法に関連して説明されているが、図5~20に開示された構造はそのような方法に限定されず、代わりに方法とは独立した構造として独立していてもよいことを理解されたい。
図5の断面図500に示すように、複数の半導体デバイス104は、基板102内に形成される。例えば、複数のソース/ドレイン領域は、イオン注入プロセスなどによって基板102内に形成されてよい。さらに、複数のゲート構造などは、例えば、1つ以上の堆積プロセス及び1つ以上のパターン化プロセスによって、基板102上に、及び複数のソース/ドレイン領域の間に形成されてよい。
さらに、第1の相互接続構造106は、基板102上に形成されてもよく、例えば、1つ以上の金属線、1つ以上の金属ビア、1つ以上の接点、1つ以上の誘電体層などを含んでもよい。第1の相互接続構造106を形成することは、例えば、基板102上に1つ以上の誘電体層を堆積し、1つ以上の誘電体層をパターン化して1つ以上の誘電体層に1つ以上の開口部を形成し、1つ以上の開口部に1つ以上の金属を堆積することを含んでもよい。1つ以上の金属線、1つ以上の金属ビア、1つ以上の接点などのいずれかは、複数の半導体デバイス104のいずれかに電気的に接続されてよい。
図6の断面図600に示すように、第1のエッチングストップ層108は、基板102上に形成される。第1のエッチングストップ層108は、例えば、物理蒸着(PVD)プロセス、化学蒸着(CVD)プロセス、原子層堆積(ALD)プロセス、スピンオンプロセスなどによって、基板102上に炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸窒化アルミニウム、酸化アルミニウム、窒化アルミニウムなどのいずれかを堆積させることによって形成されてよい。堆積中の第1のエッチングストップ層108の温度は、例えば、約20~400℃又は他の適切な温度であってよい。さらに、第1のエッチングストップ層108の厚さは、約10~1000オングストロームであってよい。
OLD層112は、第1のエッチングストップ層108上に形成される。ILD層は、PVDプロセス、CVDプロセス、ALDプロセス、スピンオンプロセスなどによって、第1のエッチングストップ層108上に炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素などのいずれかを堆積させることにより形成される。堆積中のILD層の温度は、例えば、約50~400℃又は他の適切な温度であってよい。さらに、ILD層112の厚さは、例えば、約30~800オングストロームであってよい。
第1の犠牲層114は、ILD層112上に形成される。第1の犠牲層114は、CVDプロセス、PVDプロセス、ALDプロセスなどによって、ILD層112上に窒化チタン、酸化チタン、タングステンドープ炭素、酸化ハフニウム、酸化ジルコニウム、酸化亜鉛、酸化チタンジルコニウム、炭化ケイ素、二酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸化アルミニウム、酸窒化アルミニウムなどのいずれかを堆積させることによって形成されてよい。堆積中の第1の犠牲層114の温度は、例えば、約50~400℃又は他の適切な温度であってよい。さらに、第1の犠牲層114の厚さは、約30~600オングストローム又は他の適切な厚さであってよい。
ハードマスク層116は、第1の犠牲層114上に形成される。ハードマスク層116は、CVDプロセス、PVDプロセス、ALDプロセスなどによって、第1の犠牲層114上に窒化チタン、酸化チタン、タングステンドープ炭素、酸化ハフニウム、酸化ジルコニウム、酸化亜鉛、酸化チタンジルコニウム、炭化ケイ素、二酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸化アルミニウム、酸窒化アルミニウムなどのいずれかを堆積させることによって形成されてよい。堆積中のハードマスク層116の温度は、例えば、約50~400℃又は他の適切な温度であってよい。さらに、ハードマスク層116の厚さは、例えば、約30~500オングストローム又は他の適切な厚さであってよい。
図7の断面図700に示すように、底部リソグラフィ層118は、ハードマスク層116上に形成され、中間リソグラフィ層120は、底部リソグラフィ層118上に形成され、フォトレジストマスク122は、中間リソグラフィ層120上に形成される。底部リソグラフィ層118及び中間リソグラフィ層120のいずれかは、有機ポリマー、無機ポリマーなどを含んでよく、CVDプロセス、PVDプロセス、ALDプロセス、スピンオンプロセスなどによって形成されてよい。
図8の断面図800に示すように、ハードマスク層116及び第1の犠牲層114は、複数の第1の犠牲セグメント124を規定し、複数の第1の犠牲セグメント124の頂面に複数のハードマスクセグメント126を規定するために、所定の位置にある底部リソグラフィ層118、中間リソグラフィ層120、及びフォトレジストマスク122のいずれかでパターン化される。結果として、複数の第1の犠牲セグメント124は、ILD層112上に横方向に分離される。例えば、パターン化は、第1の犠牲セグメント124aと、第1の犠牲セグメント124aの頂面での第1のハードマスクセグメント126aとを規定することができる。パターン化は、例えば、リソグラフィプロセス、ウェットエッチングプロセス、ドライエッチングプロセスなどのいずれかを含んでよい。
例えば、ウェットエッチングプロセスは、フッ化水素酸、水酸化カリウム、水酸化テトラメチルアンモニウム、リン酸、酢酸、硝酸、塩酸、過酸化水素などのいずれかを利用することができる。さらに、ドライエッチングプロセスは、例えば、誘導結合プラズマ(ICP)、容量結合プラズマ(CCP)などを利用することができる反応性イオンエッチング(RIE)プロセスを含んでよい。さらに、ドライエッチングプロセスは、約50~3000ワットの電力、約0~1200ボルトの電圧バイアス、約0~100℃の温度、及び約0.2~120ミリトールの圧力を利用することができる。さらに、ドライエッチングプロセスは、メタン、フルオロメタン、ジフルオロメタン、トリフルオロメタン、オクタフルオロシクロブタン、ヘキサフルオロ-1,3-ブタジエン、テトラフルオロメタン、水素、臭化水素、一酸化炭素、二酸化炭素、酸素、三塩化ホウ素、塩素、窒素、ヘリウム、ネオン、アルゴン、その他のガスなどのいずれかを利用することができる。
図9の断面図900に示すように、底部リソグラフィ層128は、ILD層112上、複数の第1の犠牲セグメント124の上及び間、並びに複数のハードマスクセグメント126の上及び間に形成される。例えば、底部リソグラフィ層128は、第1の犠牲セグメント124aの側壁に沿って、ハードマスクセグメント126の頂面に形成される。さらに、中間リソグラフィ層130は、底部リソグラフィ層128上に形成され、フォトレジストマスク132は、中間リソグラフィ層130上に形成される。
図10の断面図1000に示すように、ILD層112は、所定の位置にある底部リソグラフィ層128、中間リソグラフィ層130、及びフォトレジストマスク132のいずれかでパターン化されて、ILD層112に1つ以上の第1の開口部134を形成する。1つ以上の第1の開口部134は、ILD層112の側壁によって規定される。さらに、底部リソグラフィ層128は、パターン化された後、基板102上に残っていてもよい。
パターン化は、ウェットエッチングプロセス、ドライエッチングプロセスなどを含んでもよい。例えば、ドライエッチングプロセスは、ICP、CCPなどを利用することができるRIEプロセスを含んでもよい。さらに、ドライエッチングプロセスは、約50~3000ワットの電力、約0~1200ボルトの電圧バイアス、約0~100℃の温度、及び約0.2~120ミリトールの圧力を利用することができる。さらに、ドライエッチングプロセスは、メタン、フルオロメタン、ジフルオロメタン、トリフルオロメタン、オクタフルオロシクロブタン、ヘキサフルオロ-1,3-ブタジエン、テトラフルオロメタン、水素、臭化水素、一酸化炭素、二酸化炭素、酸素、三塩化ホウ素、塩素、窒素、ヘリウム、ネオン、アルゴン、その他のガスなどのいずれかを利用することができる。
図11の断面図1100に示すように、底部リソグラフィ層128が除去される。底部リソグラフィ層128は、例えば、ウェットエッチングプロセス、ドライエッチングプロセスなどで除去されてよい。
図12の断面図1200に示すように、第1のエッチングストップ層108は、1つ以上の第1の開口部134が第1のエッチングストップ層108の側壁によってさらに規定されるように、所定の位置にある複数のハードマスクセグメント126でパターン化されて、1つ以上の第1の開口部134を第1のエッチングストップ層108を通して延在する。パターン化は、複数のハードマスクセグメント126の1つ以上の部分を除去してもよいので、複数のハードマスクセグメント126は、例えば、湾曲したか又は丸い表面を有してよい。
パターン化は、ウェットエッチングプロセス、ドライエッチングプロセスなどを含んでもよい。例えば、ドライエッチングプロセスは、ICPドライエッチングプロセス、CCPドライエッチングプロセス、遠隔プラズマドライエッチングプロセスなどを含んでよい。ドライエッチング中において利用される電力は、約50~3000ワットであってよい。ドライエッチング中において利用される電圧バイアスは、約0~1200ボルトであってよい。ドライエッチング中の温度は、約0~100℃であってよい。ドライエッチング中の圧力は、約0.2~120ミリトールであり得る。さらに、ドライエッチングプロセスは、メタン、フルオロメタン、ジフルオロメタン、トリフルオロメタン、オクタフルオロシクロブタン、ヘキサフルオロ-1,3-ブタジエン、テトラフルオロメタン、水素、臭化水素、一酸化炭素、二酸化炭素、酸素、三塩化ホウ素、塩素、窒素、ヘリウム、ネオン、アルゴン、その他のガスなどのいずれかを利用することができる。
図13の断面図1300に示すように、金属材料136は、基板102上及び複数の第1の犠牲セグメント124の間に堆積される。金属材料136は、1つ以上の第1の開口部134を充填してよい。金属材料136は、例えば、タンタル、窒化タンタル、窒化チタン、銅、コバルト、ルテニウム、モリブデン、イリジウム、タングステンなどを含んでよく、かつPVDプロセス、CVDプロセス、ALDプロセス、電気化学めっき(ECP)プロセス、スパッタリングプロセスなどによって堆積されてよい。金属堆積中の温度は、約20~400℃であってよい。さらに、金属材料136の厚さは、約10~1000オングストロームであってよい。
図14の断面図1400に示すように、平坦化プロセスが金属材料136に対して実行されて、金属材料136を複数の第1の犠牲セグメント124上から除去する。平坦化プロセスはまた、複数の第1の犠牲セグメント124の頂面から複数のハードマスクセグメント126を除去する。さらに、平坦化プロセスは、複数の第1の犠牲セグメント124の間に複数の金属フィーチャ138を規定する。
例えば、平坦化プロセスは、第1の犠牲セグメント124aの頂面から第1のハードマスクセグメント126aを除去し、かつ第1の金属フィーチャ138a及び第2の金属フィーチャ138bを規定する。第1の金属フィーチャ138a及び第2の金属フィーチャ138bは、第1の犠牲セグメント124aの反対側にあってよい。
平坦化プロセスの結果として、複数の金属フィーチャ138の頂面は、複数の第1の犠牲セグメント124の頂面と略面一となる。平坦化プロセスは、例えば、化学的機械的平坦化プロセス(CMP)などを含んでもよい。
複数の金属フィーチャ138を形成する前にILD層112のパターン化を最小化することにより、複数の金属フィーチャ138の湾曲は生じにくくなる。
図15の断面図1500に示すように、第1の除去プロセスを実行して、複数の金属フィーチャ138の間から複数の第1の犠牲セグメント124を少なくとも部分的に除去する。
第1の除去プロセスは、ウェットエッチングプロセス、ドライエッチングプロセス、又は他の適切なプロセスを含んでよい。例えば、ウェットエッチングプロセスは、フッ化水素酸、水酸化カリウム、水酸化テトラメチルアンモニウム、リン酸、酢酸、硝酸、塩酸、過酸化水素などのいずれかを利用することができる。さらに、ドライエッチングプロセスは、例えば、ICPドライエッチングプロセス、CCPドライエッチングプロセス、イオンビームエッチング(IBE)ドライエッチングプロセス、遠隔プラズマドライエッチングプロセスなどを含んでもよい。ドライエッチングプロセス中において利用される電力は、約50~3000ワットであってもよい。ドライエッチング中において利用される電圧バイアスは、約0~1200ボルトであってよい。ドライエッチング中の温度は、約0~100℃であってよい。ドライエッチング中の圧力は、約0.2~120ミリトールであり得る。さらに、ドライエッチングプロセスは、メタン、フルオロメタン、ジフルオロメタン、トリフルオロメタン、オクタフルオロシクロブタン、ヘキサフルオロ-1,3-ブタジエン、テトラフルオロメタン、水素、臭化水素、一酸化炭素、二酸化炭素、酸素、三塩化ホウ素、塩素、窒素、ヘリウム、ネオン、アルゴン、メタノール、エタノール、その他のガスなどのいずれかを利用することができる。
いくつかの実施形態では、第1の除去プロセスは、複数の金属フィーチャ138の間から複数の第1の犠牲セグメント124全体を除去しなくてもよい。したがって、そのような実施形態では、複数の第1の犠牲セグメント124の1つ以上の部分は、第1の除去プロセスの後、複数の金属フィーチャ138の1つ以上の側壁及び/又はILD層112の1つ以上の上面に残ってよい(例えば、図3を参照)。
図16の断面図1600に示すように、誘電体ライナー層139は、複数の金属フィーチャ138の頂面、複数の金属フィーチャ138の側壁、及びILD層112の頂面に形成される。誘電体ライナー層139は、例えば、CVDプロセス、PVDプロセス、ALDプロセス、スピンオンプロセスなどによって、基板102上に炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素などのいずれかを堆積させることにより形成されてよい。堆積中の温度は、例えば、約50~400℃であってもよい。さらに、誘電体ライナー層139の厚さは、例えば、約30~800オングストロームであってよい。
さらに、第2の犠牲層142は、誘電体ライナー層139上及び誘電体ライナー層139の側壁の間に形成される。第2の犠牲層142は、CVDプロセス、PVDプロセス、ALDプロセス、スピンオンプロセスなどによって、基板102上に窒化チタン、酸化チタン、タングステンドープ炭素、酸化ハフニウム、酸化ジルコニウム、酸化亜鉛、酸化チタンジルコニウム、炭化ケイ素、二酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸化アルミニウム、酸窒化アルミニウムなどのいずれかを堆積させることによって形成されてよい。いくつかの実施形態では、第2の犠牲層142は、第1の犠牲層(例えば、図6~8の114)とは異なる材料である。
図17の断面図1700に示すように、平坦化プロセスを、第2の犠牲層142に対して実行して、複数の金属フィーチャ138の頂面から第2の犠牲層142を除去する。平坦化プロセスはまた、誘電体ライナー層139の一部を複数の金属フィーチャ138の頂面から除去する。結果として、平坦化プロセスは、複数の金属フィーチャ138の側壁の間に複数の誘電体ライナーセグメント140を規定し、複数の誘電体ライナーセグメント140の側壁の間に複数の第2の犠牲セグメント144を規定する。例えば、第1の誘電体ライナーセグメント140aは、第1の金属フィーチャ138aの側壁上、第2の金属フィーチャ138bの側壁上、及びILD層112の上面の第1の金属フィーチャ138aと第2の金属フィーチャ138bとの間にあってよい。さらに、第2の犠牲セグメント144aは、第1の誘電体ライナーセグメント140aの側壁の間、及び第1の誘電体ライナーセグメント140aの上面にあってよい。平坦化プロセスは、例えば、CMPなどを含んでもよい。
図18の断面図1800に示すように、第2のエッチングストップ層146は、複数の金属フィーチャ138の頂面、複数の誘電体ライナーセグメント140の頂面、及び複数の第2の犠牲セグメント144の頂面に形成される。第2のエッチングストップ層146は、CVDプロセス、PVDプロセス、ALDプロセス、スピンオンプロセスなどによって、基板102上に炭化ケイ素、酸化ケイ素、オキシ炭化ケイ素、窒化ケイ素、炭窒化ケイ素、酸窒化ケイ素、オキシ炭窒化ケイ素、酸窒化アルミニウム、酸化アルミニウム、窒化ケイ素などのいずれかを堆積させることによって形成されてよい。堆積中の温度は、約20~400℃であってもよい。さらに、第2のエッチングストップ層146の厚さは、約10~1000オングストロームであってよい。
図19の断面図1900に示すように、第2の除去プロセスを実行して、複数の金属フィーチャ138の間から複数の第2の犠牲セグメント144を少なくとも部分的に除去することにより、それらの場所に複数のキャビティ148を残すことができる。したがって、複数のキャビティ148は、複数の誘電体ライナーセグメント140の側壁、複数の誘電体ライナーセグメント140の上面、及び第2のエッチングストップ層146の1つ以上の下面によって規定される。例えば、第1のキャビティ148aは、第1の誘電体ライナーセグメント140aの側壁、第1の誘電体ライナーセグメント140aの上面、及び第2のエッチングストップ層146の下面によって規定されてよい。
第2の除去プロセスは、例えば、加熱プロセスなどを含んでよい。例えば、加熱プロセスは、集積チップをオーブン又は他の加熱装置内で約250~400℃で約30~600秒又は他の適切な時間ベーキングすることを含んでよい。いくつかの実施形態では、加熱プロセスは、複数の第2の犠牲セグメント144の熱分解を含んでもよい。さらに、第2の除去プロセスは、所定の位置にある第2のエッチングストップ層146を用いて実行される。例えば、加熱プロセスは、複数の第2の犠牲セグメント144を固相から気相に変質させて、ガスが第2のエッチングストップ層146を通過(例えば、拡散)することにより複数のキャビティ148に逃げるようにしてよい。いくつかの実施形態では、ガスは、第2のエッチングストップ層146を通過して複数のキャビティ148から抽出されてよい。いくつかの実施形態(図示せず)では、1つ以上の開口部を第2のエッチングストップ層146に形成して、ガスが複数のキャビティ148からより容易に逃げるようにしてもよい。
複数の第2の犠牲セグメント144を除去し、それらの場所に複数のキャビティ148を形成することによって、複数のキャビティ148のサイズ及び/又は位置の制御を達成することができる。例えば、複数の第2の犠牲セグメント144の形成を制御し、続いて複数の第2の犠牲セグメント144を除去して複数のキャビティ148をその場所に残すことによって、複数のキャビティ148の形成の制御を達成することもできる。
いくつかの実施形態では、第2の除去プロセスは、複数の誘電体ライナーセグメント140の側壁の間から複数の第2の犠牲セグメント144全体を除去しなくてもよい。したがって、そのような実施形態では、複数の第2の犠牲セグメント144の1つ以上の部分は、第2の除去プロセスの後、複数の誘電体ライナーセグメント140の1つ以上の側壁に残ってよい(例えば、図4を参照)。
図20の断面図2000に示すように、第2の相互接続構造150は、第2のエッチングストップ層146上に形成されてもよく、例えば、1つ以上の金属線、1つ以上の金属ビア、1つ以上のボンドパッド、1つ以上の半田バンプ、1つ以上の誘電体層などを含んでもよい。第2の相互接続構造150を形成することは、例えば、第2のエッチングストップ層146上に1つ以上の誘電体層を堆積し、1つ以上の誘電体層をパターン化して1つ以上の誘電体層に1つ以上の開口部を形成し、1つ以上の開口部に1つ以上の金属を堆積することを含んでもよい。1つ以上の金属線、1つ以上の金属ビア、1つ以上のボンドパッド、1つ以上の半田バンプどのいずれかは、複数の金属フィーチャ138のいずれかに電気的に接続されてもよい。
図21は、第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップを形成するための方法2000のいくつかの実施形態の流れ図を示す。方法2100は、一連の行為又はイベントとして以下に例示及び説明されているが、そのような行為又はイベントの図示された順序は、限定的な意味で解釈されるべきではないことを理解されたい。例えば、一部の行為は、異なるオーダで発生したり、図示した他の行為やイベントと同時に発生したりしてもよい。また、図示した全ての機能が、本明細書の記載の一又は複数の態様又は実施形態を実現するために必須であるとは限らない。また、ここに示す行為は、1つ以上の行為した作用及び/又は段階で実施されてもよい。
2102では、層間絶縁膜(ILD)層を基板上に形成する。図6は、行為2102に対応するいくつかの実施形態の断面図600を示す。
2104では、第1の犠牲層をILD層上に形成する。図6は、行為2104に対応するいくつかの実施形態の断面図600を示す。
2106では、ILD層上に第1の犠牲層をパターン化して第1の犠牲セグメントを規定する。図7及び8は、行為2106に対応するいくつかの実施形態の断面図700及び800を示している。
2108では、基板上に金属を堆積させて、第1の犠牲セグメントの反対側に第1の金属フィーチャと第2の金属フィーチャを形成する。図13及び14は、行為2108に対応するいくつかの実施形態の断面図1300及び1400を示している。
2110では、第1の金属フィーチャと第2の金属フィーチャとの間から第1の犠牲セグメントを少なくとも部分的に除去する。図15は、行為2110に対応するいくつかの実施形態の断面図1500を示す。
2112では、第1の金属フィーチャの側壁、第2の金属フィーチャの側壁、及びILD層の上面の第1の金属フィーチャと第2の金属フィーチャとの間に第1の誘電体ライナーセグメントを形成する。図16及び17は、行為2112に対応するいくつかの実施形態の断面図1600及び1700を示している。
2114では、第1の誘電体ライナーセグメントの上面に、かつ第1の誘電体ライナーセグメントの側壁の間に第2の犠牲セグメントを形成する。図16及び17は、行為2114に対応するいくつかの実施形態の断面図1600及び1700を示している。
2116では、第2の犠牲セグメントの頂面、第1の金属フィーチャの頂面、第2の金属フィーチャの頂面、及び第1の誘電体ライナーセグメントの頂面にエッチングストップ層を形成する。図18は、行為2116に対応するいくつかの実施形態の断面図1800を示す。
2118では、第2の犠牲セグメントを少なくとも部分的に除去することにより、その場所に第1のキャビティを残す。図19は、行為2118に対応するいくつかの実施形態の断面図1900を示す。
したがって、本開示の様々な実施形態は、第1の金属フィーチャと第2の金属フィーチャとの間の静電容量を低減することにより、その性能を改善するための、第1の金属フィーチャと第2の金属フィーチャとの間に第1のキャビティを含む集積チップに関する。さらに、本開示の様々な実施形態は、第1のキャビティの形成に対する制御を提供する集積チップを形成するための方法に関する。
したがって、いくつかの実施形態では、本開示は、集積チップに関する。集積チップは、基板上の誘電体層を含む。第1の金属フィーチャは、誘電体層上にある。第2の金属フィーチャは、誘電体層上にあり、第1の金属フィーチャに横方向に隣接する。第1の誘電体ライナーセグメントは、誘電体層の上面に沿って、第1の金属フィーチャと第2の金属フィーチャとの間に横方向に延在する。第1の誘電体ライナーセグメントは、誘電体層の上面に沿うから、第2の金属フィーチャに対向する第1の金属フィーチャの側壁に沿うように、そして第1の金属フィーチャに対向する第2の金属フィーチャの側壁に沿うように、連続して延在する。第1のキャビティは、第1の誘電体ライナーセグメントの側壁の間の横方向にかつ第1の誘電体ライナーセグメントの上面の上にある。
他の実施形態では、本開示は、集積チップを形成する方法に関する。該方法は、基板上に第1の金属フィーチャ及び第2の金属フィーチャを形成することを含む。第1の金属フィーチャと第2の金属フィーチャとの間に、かつ第1の金属フィーチャと第2の金属フィーチャの側壁に沿って第1の誘電体ライナーセグメントを形成する。第1の金属フィーチャと第2の金属フィーチャの側壁の間、さらに第1の誘電体ライナーセグメントの側壁の間に犠牲セグメントを形成する。第1の金属フィーチャ上、第2の金属フィーチャ上、犠牲セグメント上、及び第1の誘電体ライナーセグメント上にエッチングストップ層を形成する。第1の金属フィーチャと第2の金属フィーチャの側壁の間、及び第1の誘電体ライナーセグメントの側壁の間から犠牲セグメントの少なくとも一部を除去することにより、犠牲セグメントの少なくとも一部が除去された場所に第1のキャビティを残す。
さらに他の実施形態では、本開示は、集積チップを形成するための方法に関する。該方法は、基板上に層間絶縁膜(ILD)層を形成することを含む。第1の犠牲層をILD層上に形成する。ILD層上に第1の犠牲層をパターン化して第1の犠牲セグメントを規定する。ILD層をパターン化して、ILD層に開口部を形成する。基板上に金属を堆積させて、第1の犠牲セグメントの反対側に第1の金属フィーチャと第2の金属フィーチャを形成する。第1の金属フィーチャを、第1の犠牲セグメントの頂面から第1の犠牲セグメントの底面まで延在する。第2の金属フィーチャを、第1の犠牲セグメントの頂面からILD層の底面の下まで延在する。第1の金属フィーチャと第2の金属フィーチャとの間から第1の犠牲セグメントを少なくとも部分的に除去する。第2の金属フィーチャに対向する第1の金属フィーチャの側壁、第1の金属フィーチャに対向する第2の金属フィーチャの側壁、及びILD層の上面の第1の金属フィーチャと第2の金属フィーチャとの間に第1の誘電体ライナーセグメントを形成する。第1の誘電体ライナーセグメントの上面に、かつ第1の誘電体ライナーセグメントの側壁の間に第2の犠牲セグメントを形成する。第2の犠牲セグメントの頂面、第1の金属フィーチャの頂面、第2の金属フィーチャの頂面、及び第1の誘電体ライナーセグメントの頂面にエッチングストップ層を形成する。第1の誘電体ライナーセグメントの上面から、第2の犠牲セグメントを少なくとも部分的に除去することにより、その場所に第1のキャビティを残す。第1のキャビティは、第1の誘電体ライナーセグメントの側壁、第1の誘電体ライナーセグメントの上面、及びエッチングストップ層の下面によって規定される。
前述は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説している。当業者であれば、本明細書に導入された実施形態の同じ目的を実行し、及び/又は同じ利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解できる。当業者であれば、またそのような同等の構造が本開示の精神及び範囲から逸脱せず、本開示の精神及び範囲から逸脱することなく、本明細書において様々な変更、置換、及び改変を行うことができることを理解できる。

Claims (20)

  1. 基板上の誘電体層と、
    前記誘電体層上の第1の金属フィーチャと、
    前記誘電体層上にあり、前記第1の金属フィーチャに横方向に隣接する第2の金属フィーチャと、
    前記誘電体層の上面に沿って前記第1の金属フィーチャと前記第2の金属フィーチャとの間に横方向に延在し、かつ前記誘電体層の上面に沿うようから、前記第2の金属フィーチャに対向する前記第1の金属フィーチャの側壁に沿うように、そして前記第1の金属フィーチャに対向する前記第2の金属フィーチャの側壁に沿うように、連続して延在する第1の誘電体ライナーセグメントと、
    前記第1の誘電体ライナーセグメントの側壁の間の横方向にかつ前記第1の誘電体ライナーセグメントの上面の上にある第1のキャビティと、を含む、集積チップ。
  2. 前記第1のキャビティは、少なくとも部分的に、前記第1の誘電体ライナーセグメントの側壁及び上面によって規定される、請求項1に記載の集積チップ。
  3. 前記第1の金属フィーチャ上、前記第2の金属フィーチャ上、及び前記第1の誘電体ライナーセグメント上のエッチングストップ層をさらに含み、前記エッチングストップ層は、前記第1のキャビティをさらに規定する、請求項2に記載の集積チップ。
  4. 前記誘電体層は、第1の誘電体材料を含み、前記第1の誘電体ライナーセグメントは、前記第1の誘電体材料とは異なる第2の誘電体材料を含む、請求項1に記載の集積チップ。
  5. 誘電体を含む犠牲セグメントをさらに含み、前記犠牲セグメントは、前記第1の金属フィーチャの側壁に配置される、請求項1に記載の集積チップ。
  6. 誘電体を含む犠牲セグメントをさらに含み、前記犠牲セグメントは、前記第1の誘電体ライナーセグメントに配置され、かつ少なくとも部分的に第1のキャビティを規定する、請求項1に記載の集積チップ。
  7. 前記第1の誘電体ライナーセグメントは、前記第1の金属フィーチャの側壁、前記第2の金属フィーチャの側壁、及び前記誘電体層の上面をコンフォーマルに裏打ちする、請求項1に記載の集積チップ。
  8. 集積チップを形成する方法であって、
    基板上に第1の金属フィーチャ及び第2の金属フィーチャを形成するステップと、
    前記第1の金属フィーチャと前記第2の金属フィーチャとの間に、かつ前記第1の金属フィーチャと前記第2の金属フィーチャの側壁に沿って第1の誘電体ライナーセグメントを形成するステップと、
    前記第1の金属フィーチャと前記第2の金属フィーチャの側壁の間、さらに前記第1の誘電体ライナーセグメントの側壁の間に犠牲セグメントを形成するステップと、
    前記第1の金属フィーチャ上、前記第2の金属フィーチャ上、前記犠牲セグメント上、及び前記第1の誘電体ライナーセグメント上にエッチングストップ層を形成するステップと、
    前記第1の金属フィーチャと前記第2の金属フィーチャの側壁の間、及び前記第1の誘電体ライナーセグメントの側壁の間から前記犠牲セグメントの少なくとも一部を除去することにより、前記犠牲セグメントの少なくとも一部が除去された場所に第1のキャビティを残すステップと、を含む、方法。
  9. 前記第1のキャビティは、前記第1の誘電体ライナーセグメントの側壁、前記第1の誘電体ライナーセグメントの上面、及び前記エッチングストップ層の下面によって規定される、請求項8に記載の方法。
  10. 前記犠牲セグメントを除去した後、前記犠牲セグメントの一部は、前記第1の誘電体ライナーセグメント上に残る、請求項8に記載の方法。
  11. 前記第1の誘電体ライナーセグメント及び前記犠牲セグメントを形成することは、
    前記第1の金属フィーチャ上、前記第2の金属フィーチャ上、及び前記第1及び第2の金属フィーチャの側壁上に誘電体ライナー層を形成するステップと、
    前記誘電体ライナー層の側壁の間及び前記誘電体ライナー層の上に犠牲層を形成するステップと、
    前記犠牲層を形成した後、前記犠牲層及び前記誘電体ライナー層に平坦化プロセスを実行して、前記犠牲セグメントを規定し、前記第1の誘電体ライナーセグメントを規定するステップと、を含む、請求項8に記載の方法。
  12. 前記犠牲セグメントを除去することは、加熱プロセスを含み、前記犠牲セグメント上の所定の位置にあるエッチングストップ層を用いて行われる、請求項8に記載の方法。
  13. 前記エッチングストップ層は、前記犠牲セグメントの除去中において前記犠牲セグメント上に残る、請求項8に記載の方法。
  14. 前記犠牲セグメントを除去することは、
    前記犠牲セグメントがガスに変化するまで前記犠牲セグメントを加熱するステップと、
    前記エッチングストップ層を通してガスを抽出することにより、前記第1のキャビティからガスを除去するステップと、を含む、請求項13に記載の方法。
  15. 前記第1の金属フィーチャ及び前記第2の金属フィーチャを形成することは、
    前記基板上に別個の犠牲セグメントを形成するステップと、
    前記基板上に金属を堆積させて、前記別個の犠牲セグメントの反対側に前記第1の金属フィーチャと前記第2の金属フィーチャを形成するステップと、
    前記第1の金属フィーチャと第2の金属フィーチャとの間から前記別個の犠牲セグメントを少なくとも部分的に除去するステップと、を含む、請求項8に記載の方法。
  16. 前記別個の犠牲セグメントを除去した後、前記別個の犠牲セグメントの一部は、前記第1の金属フィーチャの側壁上に残る、請求項15に記載の方法。
  17. 集積チップを形成するための方法であって、
    基板上に層間絶縁膜(ILD)層を形成するステップと、
    前記ILD層上に第1の犠牲層を形成するステップと、
    前記ILD層上に前記第1の犠牲層をパターン化して第1の犠牲セグメントを規定するステップと、
    前記ILD層をパターン化して、前記ILD層に開口部を形成するステップと、
    前記基板上に金属を堆積させて、前記第1の犠牲セグメントの頂面から前記第1の犠牲セグメントの底面まで延在する第1の金属フィーチャと、前記第1の犠牲セグメントの頂面から前記ILD層の底面の下まで延在する第2の金属フィーチャとを、前記第1の犠牲セグメントの反対側に形成するステップと、
    前記第1の金属フィーチャと前記第2の金属フィーチャとの間から前記第1の犠牲セグメントを少なくとも部分的に除去するステップと、
    前記第2の金属フィーチャに対向する前記第1の金属フィーチャの側壁、前記第1の金属フィーチャに対向する前記第2の金属フィーチャの側壁、及び前記ILD層の上面の前記第1の金属フィーチャと前記第2の金属フィーチャとの間に第1の誘電体ライナーセグメントを形成するステップと、
    前記第1の誘電体ライナーセグメントの上面に、かつ前記第1の誘電体ライナーセグメントの側壁の間に第2の犠牲セグメントを形成するステップと、
    前記第2の犠牲セグメントの頂面、前記第1の金属フィーチャの頂面、前記第2の金属フィーチャの頂面、及び前記第1の誘電体ライナーセグメントの頂面にエッチングストップ層を形成するステップと、
    前記第1の誘電体ライナーセグメントの上面から前記第2の犠牲セグメントを少なくとも部分的に除去することにより、前記第1の誘電体ライナーセグメントの側壁、前記第1の誘電体ライナーセグメントの上面、及び前記エッチングストップ層の下面によって規定される第1のキャビティをその場所に残すステップと、を含む、方法。
  18. 前記第1の犠牲層を形成した後、前記第1の犠牲層上にハードマスクを形成するステップをさらに含み、前記第1の犠牲層をパターン化することは、前記ハードマスクをパターン化して、前記第1の犠牲セグメント上にハードマスクセグメントを形成する、請求項17に記載の方法。
  19. 前記金属を堆積した後、前記ハードマスクセグメントと前記金属に平坦化プロセスを実行して、前記第1の金属フィーチャを規定し、前記第2の金属フィーチャを規定し、前記第1の犠牲セグメント上から前記ハードマスクセグメントを除去するステップをさらに含む、請求項18に記載の方法。
  20. 前記エッチングストップ層は、前記第2の犠牲セグメントの除去中において前記第2の犠牲セグメント上の所定の位置にある、請求項17に記載の方法。
JP2021112908A 2020-07-08 2021-07-07 キャビティ構造を有する集積チップ Pending JP2022016364A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/923,424 2020-07-08
US16/923,424 US11482447B2 (en) 2020-07-08 2020-07-08 Method of forming an integrated chip having a cavity between metal features

Publications (1)

Publication Number Publication Date
JP2022016364A true JP2022016364A (ja) 2022-01-21

Family

ID=77103769

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021112908A Pending JP2022016364A (ja) 2020-07-08 2021-07-07 キャビティ構造を有する集積チップ

Country Status (5)

Country Link
US (2) US11482447B2 (ja)
EP (1) EP3937220A1 (ja)
JP (1) JP2022016364A (ja)
CN (1) CN113644049A (ja)
TW (1) TW202218092A (ja)

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
US6159845A (en) * 1999-09-11 2000-12-12 United Microelectronics Corp. Method for manufacturing dielectric layer
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US7329613B2 (en) 2005-03-11 2008-02-12 International Business Machines Corporation Structure and method for forming semiconductor wiring levels using atomic layer deposition
WO2008056295A1 (en) 2006-11-09 2008-05-15 Nxp B.V. A semiconductor device and a method of manufacturing thereof
WO2008091900A1 (en) * 2007-01-26 2008-07-31 Applied Materials, Inc. Uv curing of pecvd-deposited sacrificial polymer films for air-gap ild
KR100818108B1 (ko) * 2007-02-06 2008-03-31 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
JP2009194286A (ja) * 2008-02-18 2009-08-27 Panasonic Corp 半導体装置及びその製造方法
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US8900988B2 (en) * 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
KR20140100798A (ko) * 2013-02-07 2014-08-18 삼성전자주식회사 반도체 장치 및 그 형성방법
KR102154112B1 (ko) * 2013-08-01 2020-09-09 삼성전자주식회사 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법
US9312168B2 (en) * 2013-12-16 2016-04-12 Applied Materials, Inc. Air gap structure integration using a processing system
US9390965B2 (en) 2013-12-20 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap forming techniques for interconnect structures
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
US9449811B2 (en) * 2014-03-12 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap scheme for BEOL process
KR102190654B1 (ko) * 2014-04-07 2020-12-15 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20160064269A1 (en) * 2014-09-02 2016-03-03 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing method thereof
KR102403741B1 (ko) * 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
EP3353803A4 (en) * 2015-09-23 2019-04-24 Intel Corporation ULTRADÜNNE DIELECTRIC HELMET LAYER FOR MASKLESS AIR SPLICE AND REPLACEMENT ILD PROCESSES
US9837355B2 (en) * 2016-03-22 2017-12-05 International Business Machines Corporation Method for maximizing air gap in back end of the line interconnect through via landing modification
KR102658192B1 (ko) * 2016-07-27 2024-04-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR102567527B1 (ko) * 2016-11-07 2023-08-16 삼성전자주식회사 반도체 소자 및 그 제조 방법.
KR20180061473A (ko) * 2016-11-28 2018-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN107680953B (zh) * 2017-11-09 2023-12-08 长鑫存储技术有限公司 金属内连线的互连结构及其形成方法、半导体器件
KR102635828B1 (ko) * 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11664305B2 (en) * 2019-06-27 2023-05-30 Intel Corporation Staggered lines for interconnect performance improvement and processes for forming such
US11145540B2 (en) 2019-08-08 2021-10-12 Nanya Technology Corporation Semiconductor structure having air gap dielectric and the method of preparing the same
US10991651B1 (en) * 2020-03-03 2021-04-27 Nanya Technology Corporation Interconnection structure having reduced capacitance and method of manufacturing the same

Also Published As

Publication number Publication date
US20220336263A1 (en) 2022-10-20
CN113644049A (zh) 2021-11-12
EP3937220A1 (en) 2022-01-12
US11482447B2 (en) 2022-10-25
TW202218092A (zh) 2022-05-01
US20220013403A1 (en) 2022-01-13

Similar Documents

Publication Publication Date Title
US10818597B2 (en) Hybrid copper structure for advance interconnect usage
US9431603B1 (en) RRAM device
US20060019485A1 (en) Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them
TWI536520B (zh) 半導體裝置及方法
JP7027432B2 (ja) 相互接続構造及びその形成方法
US11538749B2 (en) Interconnect structure
US20240063057A1 (en) Dual etch-stop layer structure
CN106952863B (zh) 半导体器件的形成方法
TW202147453A (zh) 積體晶片
US20230170254A1 (en) Double patterning approach by direct metal etch
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
JP2022016364A (ja) キャビティ構造を有する集積チップ
US11615983B2 (en) Semiconductor structure and method for forming the same
TW202118889A (zh) 蝕刻輪廓控制用之使用超薄釕金屬硬遮罩的方法
US20060226549A1 (en) Semiconductor device and fabricating method thereof
KR101180977B1 (ko) 콘택 형성 동안에 콘택홀 폭 증가를 방지하는 방법
US20230369231A1 (en) Integrated chip with inter-wire cavities
US9484252B2 (en) Integrated circuits including selectively deposited metal capping layers on copper lines and methods for fabricating the same
TW202349465A (zh) 用於金屬蝕刻的預蝕刻處理
CN115332157A (zh) 集成芯片
JP2024521903A (ja) 繰り返しプラズマ処理
TW202029367A (zh) 半導體裝置的製造方法