JP2021533568A - 抵抗熱測定を介するシャワーヘッド加熱の制御 - Google Patents

抵抗熱測定を介するシャワーヘッド加熱の制御 Download PDF

Info

Publication number
JP2021533568A
JP2021533568A JP2021506500A JP2021506500A JP2021533568A JP 2021533568 A JP2021533568 A JP 2021533568A JP 2021506500 A JP2021506500 A JP 2021506500A JP 2021506500 A JP2021506500 A JP 2021506500A JP 2021533568 A JP2021533568 A JP 2021533568A
Authority
JP
Japan
Prior art keywords
resistance element
resistance
shower head
temperature
supplied
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021506500A
Other languages
English (en)
Other versions
JP7479344B2 (ja
Inventor
ラインバーガー・ジュニア.・ニック・レイ
ベイリー・カーチス・ダブリュ.
スリニヴァサン・イアスワー
ペルキー・デヴォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021533568A publication Critical patent/JP2021533568A/ja
Application granted granted Critical
Publication of JP7479344B2 publication Critical patent/JP7479344B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K1/00Details of thermometers not specially adapted for particular types of thermometer
    • G01K1/14Supports; Fastening devices; Arrangements for mounting thermometers in particular locations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K15/00Testing or calibrating of thermometers
    • G01K15/005Calibration
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/16Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using resistive elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24585Other variables, e.g. energy, mass, velocity, time, temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Control Of Resistance Heating (AREA)
  • Plasma Technology (AREA)

Abstract

プラズマチャンバ用のシャワーヘッドは、プラズマチャンバのシャワーヘッドを加熱するための電力を受け取るように構成されている抵抗加熱器と、プラズマチャンバのシャワーヘッドに熱的に結合された抵抗要素とを備える。抵抗要素は、シャワーヘッドの温度変化に応じて抵抗を変化させる。抵抗要素は絶縁材料内に封じ込められて、抵抗要素はシャワーヘッドから電気的に絶縁されている。絶縁材料は熱の良導体である。抵抗加熱器への電力は、抵抗要素の抵抗に基づいて受け渡される。【選択図】図7

Description

関連出願の相互参照
本出願は、2018年8月8日に出願された米国特許出願公開第16/058,090号の優先権を主張する。上記で参照された出願の開示の全体が参照により本明細書に組み込まれる。
本開示は一般に半導体製造装置に関し、より具体的には、抵抗熱測定を介するシャワーヘッド加熱の制御に関する。
本明細書で提供される背景技術の記載は、本開示の文脈を概略的に提示することを目的としている。本明細書にて名前を挙げた発明者の業績は、この「背景技術」に記載されている範囲において、ならびに、出願時点で先行技術と見なされ得ない記載の態様は、明示的にも黙示的にも本開示に対する先行技術として認められていない。
基板処理システムを使用して、半導体ウェハーなどの基板上に膜を堆積させてよい。基板処理システムは、典型的には、処理チャンバおよび基板支持体を含む。膜の堆積中に、ラジカルおよび前駆体ガスが処理チャンバに供給されてよい。
例えば、処理チャンバは、上側チャンバ、下側チャンバ、および基板支持体を含んでよい。シャワーヘッドが、上側チャンバと下側チャンバとの間に配置されてよい。基板は、下側チャンバ内の基板支持体上に配置されている。プラズマガス混合物が上側チャンバに供給され、上側チャンバ内でプラズマが発生する。プラズマによって生成されたラジカルの一部は、シャワーヘッドを通って下側チャンバに流れる。シャワーヘッドはイオンをフィルタリングし、UV光が下側チャンバに到達しないように遮蔽する。前駆体ガス混合物が、シャワーヘッドを通して下側チャンバに供給され、ラジカルと反応して、基板上に膜を堆積する。
プラズマチャンバのシャワーヘッドの温度を測定し、温度に基づいてシャワーヘッドの加熱を制御するためのシステムは、プラズマチャンバのシャワーヘッドを加熱するための抵抗加熱器と、プラズマチャンバのシャワーヘッドに熱的に結合されている抵抗要素とを含む。抵抗要素は単一の金属を含み、単一の金属は、単一の金属の温度変化に応じて抵抗を変化させる。コントローラは、抵抗加熱器に電力を供給してシャワーヘッドを加熱し、抵抗要素に電圧を供給し、抵抗要素を流れる電流を測定し、抵抗要素に供給された電圧と、抵抗要素を流れる測定された電流とに基づいて、抵抗要素の抵抗を決定するように構成されている。コントローラは更に、抵抗要素の抵抗に基づいてシャワーヘッドの温度を決定し、温度をシャワーヘッドの設定点温度と比較し、比較に基づいて抵抗加熱器への電力の供給を制御して、シャワーヘッドの加熱を制御するように構成されている。
別の特徴において、コントローラは、抵抗要素のインサイチューでの較正を実施することによって生成されたルックアップテーブルを使用して、シャワーヘッドの温度を決定するように構成されている。
別の特徴において、コントローラは、シャワーヘッドの温度が設定点温度以下であることに応答して、抵抗加熱器に供給される電力を増加させ、シャワーヘッドの温度が設定点温度以上であることに応答して、抵抗加熱器に供給される電力を減少させるように構成されている。
別の特徴において、抵抗要素は絶縁材料内に封じ込められて、抵抗要素はシャワーヘッドから電気的に絶縁されており、絶縁材料は熱の良導体である。
別の特徴において、抵抗要素の抵抗の決定は、シャワーヘッドに関連するDCバイアスの影響を免れる。
別の特徴において、DCバイアスはプラズマチャンバ内のプラズマによって誘起される。
別の特徴において、DCバイアスはシャワーヘッドに印加されて、プラズマチャンバ内の粒子の流れを制御する。
他の特徴において、シャワーヘッドは第1の電極を備える。このシステムは、処理中に基板を支持するように構成されている基板支持体を更に備える。基板支持体は、第2の電極を備える。このシステムは、RF電力を生成するように構成されているRF発生器と、プラズマチャンバ内にプロセスガスを供給するように構成されているガス送達システムとを更に含む。コントローラは、プロセスガスが供給されてプラズマチャンバ内でプラズマを発生させることに応答して、第1の電極および第2の電極にわたってRF電力を印加するように更に構成されている。抵抗要素の抵抗の決定は、シャワーヘッドにおけるプラズマによって誘起されるDCバイアスの影響を受けない。
別の特徴において、コントローラは、シャワーヘッドにDCバイアスを印加してプラズマチャンバ内の粒子の流れを制御するように構成されており、抵抗要素の抵抗の決定は、シャワーヘッドに印加されるDCバイアスの影響を受けない。
別の特徴において、抵抗加熱器に供給される電力はAC電力を含み、抵抗要素に供給される電圧はDC電圧を含む。
他の特徴において、システムは、シャワーヘッドに熱的に結合された第2の抵抗要素を更に備える。第2の抵抗要素は単一の金属を含む。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、第2の温度を所定の温度閾値と比較し、第2の温度が所定の温度閾値以上であることに応答して、抵抗加熱器への電力の供給を停止させて、シャワーヘッドが過熱することを防止するように構成されている。
他の特徴において、システムは、シャワーヘッドに熱的に結合された第2の抵抗要素を更に備える。第2の抵抗要素は単一の金属を含む。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、温度および第2の温度に基づいてシャワーヘッドの平均温度を決定し、平均温度を設定点温度と比較し、比較に基づいて、抵抗加熱器への電力の供給を制御するように構成されている。
他の特徴において、システムは、シャワーヘッドを加熱するためにシャワーヘッド内の抵抗加熱器の場所とは異なる場所に配置された第2の抵抗加熱器と、異なる場所に近接してシャワーヘッドに熱的に結合された第2の抵抗要素とを更に備える。第2の抵抗要素は単一の金属を含む。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、第2の温度を設定点温度と比較し、比較に基づいて、第2の抵抗加熱器への電力の供給を制御するように構成されている。
別の特徴において、コントローラは、第2の抵抗要素のインサイチューでの較正を実施することによって生成された第2のルックアップテーブルを使用して、シャワーヘッドの温度を決定するように構成されている。
更に他の特徴において、プラズマチャンバ用のシャワーヘッドは、プラズマチャンバのシャワーヘッドを加熱するための電力を受け取るように構成されている抵抗加熱器と、プラズマチャンバのシャワーヘッドに熱的に結合されている抵抗要素とを備える。抵抗要素は、シャワーヘッドの温度変化に応じて抵抗を変化させる。抵抗要素は絶縁材料内に封じ込められて、抵抗要素はシャワーヘッドから電気的に絶縁されている。絶縁材料は熱の良導体である。抵抗加熱器への電力は、抵抗要素の抵抗に基づいて受け渡される。
他の特徴において、システムはシャワーヘッドおよびコントローラを備える。コントローラは、抵抗加熱器に電力を供給し、抵抗要素に電圧を供給し、抵抗要素を流れる電流を測定し、抵抗要素に供給された電圧と、抵抗要素を流れる測定された電流とに基づいて、抵抗要素の抵抗を決定するように構成されている。コントローラは更に、抵抗要素の抵抗に基づいてシャワーヘッドの温度を決定し、温度をシャワーヘッドの設定点温度と比較し、比較に基づいて抵抗加熱器への電力の供給を制御して、シャワーヘッドの加熱を制御するように構成されている。
別の特徴において、コントローラは、抵抗要素のインサイチューでの較正を実施することによって生成されたルックアップテーブルを使用して、シャワーヘッドの温度を決定するように構成されている。
他の特徴において、コントローラは、シャワーヘッドの温度が設定点温度以下であることに応答して、抵抗加熱器に供給される電力を増加させ、シャワーヘッドの温度が設定点温度以上であることに応答して、抵抗加熱器に供給される電力を減少させるように構成されている。
別の特徴において、抵抗要素の抵抗の決定は、シャワーヘッドに関連するDCバイアスの影響を免れる。
別の特徴において、DCバイアスはプラズマチャンバ内のプラズマによって誘起される。
別の特徴において、DCバイアスはシャワーヘッドに印加されて、プラズマチャンバ内の粒子の流れを制御する。
他の特徴において、シャワーヘッドは第1の電極を備える。このシステムは、処理中に基板を支持するように構成されている基板支持体を更に備える。基板支持体は、第2の電極を備える。このシステムは、RF電力を生成するように構成されているRF発生器と、プラズマチャンバ内にプロセスガスを供給するように構成されているガス送達システムとを更に含む。コントローラは、プロセスガスが供給されてプラズマチャンバ内でプラズマを発生させることに応答して、第1の電極および第2の電極にわたってRF電力を印加するように更に構成されている。抵抗要素の抵抗の決定は、シャワーヘッドにおけるプラズマによって誘起されるDCバイアスの影響を受けない。
他の特徴において、コントローラは、シャワーヘッドにDCバイアスを印加してプラズマチャンバ内の粒子の流れを制御するように構成されており、抵抗要素の抵抗の決定は、シャワーヘッドに印加されるDCバイアスの影響を受けない。
別の特徴において、抵抗加熱器に供給される電力はAC電力を含み、抵抗要素に供給される電圧はDC電圧を含む。
他の特徴において、システムは、シャワーヘッドに熱的に結合された第2の抵抗要素を更に備える。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、第2の温度を所定の温度閾値と比較し、第2の温度が所定の温度閾値以上であることに応答して、抵抗加熱器への電力の供給を停止させて、シャワーヘッドが過熱することを防止するように構成されている。
別の特徴において、コントローラは、第2の抵抗要素のインサイチューでの較正を実施することによって生成された第2のルックアップテーブルを使用して、シャワーヘッドの温度を決定するように構成されている。
他の特徴において、システムは、シャワーヘッドに熱的に結合された第2の抵抗要素を更に備える。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、温度および第2の温度に基づいてシャワーヘッドの平均温度を決定し、平均温度を設定点温度と比較し、比較に基づいて、抵抗加熱器への電力の供給を制御するように構成されている。
他の特徴において、システムは、シャワーヘッドを加熱するためにシャワーヘッド内の抵抗加熱器の場所とは異なる場所に配置された第2の抵抗加熱器と、異なる場所に近接してシャワーヘッドに熱的に結合された第2の抵抗要素とを更に備える。コントローラは、第2の抵抗要素に電圧を供給し、第2の抵抗要素を流れる電流を測定し、第2の抵抗要素に供給された電圧と第2の抵抗要素を流れる測定された電流とに基づいて、第2の抵抗要素の抵抗を決定するように構成されている。コントローラは更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、第2の温度を設定点温度と比較し、比較に基づいて、第2の抵抗加熱器への電力の供給を制御するように構成されている。
更に他の特徴において、プラズマチャンバのシャワーヘッドの加熱を制御するための方法は、抵抗加熱器をプラズマチャンバのシャワーヘッド内に配置してシャワーヘッドを加熱し、抵抗要素をプラズマチャンバのシャワーヘッドに熱的に結合させることを含む。抵抗要素は、シャワーヘッドの温度変化に応じて抵抗を変化させる。抵抗要素は絶縁材料内に封じ込められて、抵抗要素はシャワーヘッドから電気的に絶縁されている。絶縁材料は熱の良導体である。この方法は、抵抗要素に電圧を供給することによって抵抗要素の抵抗を測定することと、抵抗要素を流れる電流を測定することと、を更に含む。この方法は、抵抗要素の測定された抵抗に基づいてシャワーヘッドの温度を決定することを更に含む。この方法は、決定された温度に基づいて抵抗加熱器への電力を制御することを更に含む。
他の特徴において、この方法は、抵抗要素のインサイチューでの較正を実施することによって生成されたルックアップテーブルを使用して、シャワーヘッドの温度を決定することを更に含む。
他の特徴において、この方法は、シャワーヘッドの温度がシャワーヘッドの設定点温度以下であることに応答して、抵抗加熱器に供給される電力を増加させ、シャワーヘッドの温度がシャワーヘッドの設定点温度以上であることに応答して、抵抗加熱器に供給される電力を減少させることを更に含む。
他の特徴において、抵抗要素の抵抗の決定は、シャワーヘッドに関連するDCバイアスによって影響を受けず、DCバイアスは、プラズマチャンバ内のプラズマによって誘起され、および/またはシャワーヘッドに印加されて、プラズマチャンバ内の粒子の流れを制御する。
他の特徴において、この方法は、シャワーヘッドに結合された第2の抵抗要素を結合させることを更に含む。第2の抵抗要素は、シャワーヘッドの温度変化に応じて抵抗を変化させる。第2の抵抗要素は絶縁材料内に封じ込められている。この方法は、第2の抵抗要素に電圧を供給することによって第2の抵抗要素の抵抗を測定することと、第2の抵抗要素を流れる電流を測定することと、を更に含む。この方法は、第2の抵抗要素の測定された抵抗に基づいてシャワーヘッドの第2の温度を決定することを更に含む。この方法は、第2の温度を所定の温度閾値と比較することを更に含む。方法は更に、第2の温度が所定の温度閾値以上であることに応答して、抵抗加熱器への電力の供給を停止させて、シャワーヘッドが過熱することを防止することを含む。
他の特徴において、この方法は、シャワーヘッドに結合された第2の抵抗要素を結合させることを更に含む。第2の抵抗要素は、シャワーヘッドの温度変化に応じて抵抗を変化させる。第2の抵抗要素は絶縁材料内に封じ込められている。この方法は、第2の抵抗要素に電圧を供給することによって第2の抵抗要素の抵抗を測定することと、第2の抵抗要素を流れる電流を測定することと、を更に含む。この方法は、第2の抵抗要素の測定された抵抗に基づいてシャワーヘッドの第2の温度を決定することを更に含む。この方法は、温度と第2の温度とに基づいてシャワーヘッドの平均温度を決定することを更に含む。この方法は、平均温度をシャワーヘッドの設定点温度と比較することを更に含む。この方法は、比較に基づいて抵抗加熱器への電力を制御することを更に含む。
他の特徴において、この方法は、第2の抵抗要素のインサイチューでの較正を実施することによって生成された第2のルックアップテーブルを使用して、シャワーヘッドの温度を決定することを更に含む。
他の特徴において、この方法は、シャワーヘッド内の抵抗加熱器の場所とは異なる場所に第2の抵抗加熱器を配置してシャワーヘッドを加熱し、異なる場所に近接して第2の抵抗要素をシャワーヘッドに熱的に結合させることを更に含む。この方法は更に、第2の抵抗要素に電圧を供給し、第2の抵抗要素を介して電流を測定し、第2の抵抗要素に供給される電圧と第2の抵抗要素を介して測定された電流とに基づいて、第2の抵抗要素の抵抗を決定することを更に含む。方法は更に、第2の抵抗要素の抵抗に基づいてシャワーヘッドの第2の温度を決定し、第2の温度を設定点温度と比較し、比較に基づいて第2の抵抗加熱器への電力の供給を制御することを含む。
本開示の適用可能な更なる領域が、「発明を実施するための形態」、「特許請求の範囲」、および図面から明らかとなるであろう。「発明を実施するための形態」および具体例は、例示のみを目的としており、開示の範囲を限定することを意図していない。
本開示は、詳細な説明および添付の図面からより完全に理解されるであろう。
図1は、基板処理システムの処理チャンバの一例の機能ブロック図である。
図2は、基板処理システムの処理チャンバの別の例の機能ブロック図である。
図3は、本開示による、シャワーヘッドの温度を測定し、測定された温度に基づいてシャワーヘッドの加熱を制御するための抵抗要素を備える制御システムの一例の機能ブロック図である。
図4は、本開示による、図3の制御システムの抵抗要素を詳細に示し、図3のシャワーヘッドの金属ブロック内に埋め込まれた抵抗要素を概略的に示す。
図5は、本開示による、図3の制御システムの温度測定回路の一例の機能ブロック図であり、この回路は、シャワーヘッドの温度を測定してシャワーヘッドの加熱を制御する。
図6Aは、シャワーヘッドを複数のゾーンに分割し、各ゾーンに対して別々の抵抗加熱器と別々の抵抗要素とを使用することによって、シャワーヘッド全体にわたる温度均一性を実現する例を示す。 図6Bは、シャワーヘッドを複数のゾーンに分割し、各ゾーンに対して別々の抵抗加熱器と別々の抵抗要素とを使用することによって、シャワーヘッド全体にわたる温度均一性を実現する例を示す。
図7は、本開示による、抵抗要素を使用して、シャワーヘッドの温度を測定するための、そして測定された温度に基づいてシャワーヘッドの加熱を制御するための方法の一例のフローチャートである。
図面において、参照番号は、類似のおよび/または同一の要素を識別するために再利用され得る。
一般に、シャワーヘッドは熱制御システムを持たない。しかしながら、一部の処理システムでは、基本的な熱制御システムを使用してシャワーヘッドの温度が制御され、シャワーヘッドは、抵抗加熱器を使用して加熱される。抵抗加熱器要素を使用してシャワーヘッドを加熱する場合、典型的には、1つ以上の熱電対がシャワーヘッド内に埋め込まれて、シャワーヘッドの温度が測定され、測定された温度に基づいてシャワーヘッドの加熱が制御される。
熱電対ベースの制御システムの問題は、システムに導入されるDCまたはAC電力(低周波数または高周波であり、現在は、13.56kHz、400Hz、および120Hzである)(例えば、抵抗加熱器に供給されるAC電力、および/または、シャワーヘッドに印加された、もしくはシャワーヘッド内でプラズマ誘起されたDCバイアス)のいずれかが、制御システムに影響を与える可能性がある。主要な問題は、これらソースのいずれかからのDCが、低電圧熱電対信号にDCノイズとして追加されることであり、低電圧熱電対信号をDCノイズから区別することは非常に困難である。その結果、制御システムが熱電対から誤った読み取り値を受信して、制御システムが不正確または動作不能になる可能性がある。DCをフィルタリングすることは可能であるが、フィルタリングは追加の回路を必要とする。
代わりに、本開示は、抵抗熱測定を使用して、シャワーヘッドの温度を測定し、シャワーヘッドの加熱を制御するためのシステムおよび方法を提案する。本開示によるシステムおよび方法は、温度と共に抵抗が変化する抵抗要素の抵抗を測定することによって、シャワーヘッドの温度を測定し、シャワーヘッドの加熱を制御することができる。
具体的には、シャワーヘッドの加熱を制御するためにシャワーヘッドの温度を測定するために、抵抗要素の両端にDC電圧が印加され、抵抗要素を流れる電流が測定される。測定された電流と抵抗要素に印加された電圧とに基づいて、抵抗要素の抵抗を決定することができる。場合によっては、電源によって出力されるDC電圧は変動する場合があり、抵抗要素の抵抗は正確に検出し測定する必要があるので、電源によって出力されるDC電圧も測定し、測定された電流と共に使用して、抵抗要素の抵抗を決定することができる。
抵抗要素の測定抵抗を使用して、ルックアップテーブルを参照することによりシャワーヘッドの温度を推測する(すなわち、相関させる、または変換する)ことができ、ルックアップテーブルは、以下で詳細に説明する較正手順を実施することにより、事前に実験的に生成できる。代替として、抵抗要素の温度/抵抗関係は一般に線形関係であり、数式で表すことができるので、数式を使用してシャワーヘッドの温度を決定することができる。次いで、制御システムは、シャワーヘッドの測定された温度を、シャワーヘッドの所望の設定点温度と比較することができる。比較に基づいて、制御システムは、抵抗加熱器に供給される電力を増加または減少させて、シャワーヘッドの温度をシャワーヘッドの所望の設定点温度にまたはその近傍に維持することができる。
既知のまたは測定されたDC電圧が抵抗要素の両端に印加され、抵抗要素を流れる電流が測定されるので、上述したように追加されたいかなる浮遊または追加のDCまたはACも、抵抗計算で考慮され得る。それに応じて、本開示によって提案される抵抗要素ベースの測定は、制御システムを、電力入力または処理チャンバ内の他のソースによって導入されるノイズから切り離す。
本開示による抵抗要素ベースの制御システムでは、抵抗要素は、正確な制御に必要な応答を提供するために(図3および図4を参照)、シャワーヘッドの熱質量部に密接にリンク(結合)されている。上述したような、DCノイズの影響を受け易い熱電対ベースの制御システムとは異なり、抵抗要素ベースの制御システムは、上述した理由によりDCノイズの影響を受け易くない。それに応じて、熱電対ベースの制御システムとは異なり、抵抗要素ベースの制御システムは、シャワーヘッドの加熱の正確な制御を提供する。
更に、本開示の制御システムは、温度過上昇制御装置または補助的温度測定装置を必要とする場合もあれば、必要としない場合もある。しかしながら、そのような装置が使用される場合、装置は、シャワーヘッドの加熱を制御するために使用されるものと同様の別の抵抗要素を使用して実現することができる。代替として、スナップスイッチ(すなわち、通常時は閉じているスイッチ)を使用することができ、このスイッチは、シャワーヘッドが過熱しシャワーヘッドの温度が所定の限界を超えた場合に開いて、抵抗加熱器への電力を遮断する。別の代替として、熱電対または任意の他の好適な装置を、温度過上昇制御装置または補助的温度測定装置として使用することができる。
本開示による、シャワーヘッドの加熱を制御するために使用される抵抗要素は、追加の利点を提供する。例えば、抵抗要素は、シャワーヘッドの使用の全てのサイクルを通してシャワーヘッドの温度を制御できる。具体的には、抵抗要素は、抵抗加熱器への電力供給がオフになっている間に、シャワーヘッドの温度を測定できる。すなわち、抵抗要素は、シャワーヘッドがオン状態にある時だけでなく、シャワーヘッドがオフ状態にある時も、シャワーヘッドの温度を測定することができる。換言すれば、抵抗要素を使用してシャワーヘッドの温度を測定するために、抵抗加熱器への電力供給をオンにする必要はない。
対照的に、熱電対を使用してシャワーヘッドの温度を測定および制御する場合、熱電対ベースの制御システムは、シャワーヘッドがオン状態の場合にのみシャワーヘッドの温度を測定することができる。熱電対ベースの制御システムは、シャワーヘッドがオフ状態の場合(すなわち、シャワーヘッド加熱器の電源がオフの場合)、シャワーヘッドの温度を測定できない。それに応じて、熱電対の代わりに抵抗要素を使用することは、抵抗要素がDCノイズの影響を免れ、シャワーヘッドに対して、熱電対よりも、より正確な温度測定および加熱制御を提供することに加えて、この追加の理由のために有利である。
本開示は以下のように構成されている。基板を処理するために使用される処理チャンバの例を、図1および図2を参照して説明する。シャワーヘッドの温度を測定し、測定された温度に基づいてシャワーヘッドの加熱を制御するための抵抗要素を備える、本開示による制御システムの例を、図3を参照して説明する。本開示による、シャワーヘッド内に埋め込まれている抵抗要素の例を、図4で詳細に示す。シャワーヘッドの温度を測定してシャワーヘッドの加熱を制御するために、本開示の制御システムで使用される温度測定回路の例を、図5を参照して説明する。シャワーヘッドを複数のゾーンに分割し、各ゾーンに対して別々の抵抗加熱器と別々の抵抗要素とを使用することによって、シャワーヘッド全体にわたる温度均一性を実現する例を、図6Aおよび図6Bを参照して説明する。シャワーヘッドの温度を測定し、測定された温度に基づいてシャワーヘッドの加熱を制御するための抵抗要素を使用するための、本開示による方法の例を、図7を参照して説明する。
図1は、処理チャンバ22を備える基板処理システム20を示す。前述の例はプラズマ化学気相成長法(PECVD)との関連で説明されるが、本開示の教示は、原子層堆積(ALD)、PEALD、CVD、または他のプロセスなどの他の基板処理システムに適用されてよい。システム20は、システム20の他の構成要素を取り囲み、(使用される場合は)RFプラズマを含有する、処理チャンバ22を含む。システム20は、上部電極24、および静電チャック(ESC)26または他の基板支持体を含む。動作中、基板28は、ESC26上に配置される。
例えば、上部電極24は、プロセスガスを導入および分配するガス分配装置29、例えばシャワーヘッド、を含んでよい。ガス分配装置29は、処理チャンバの上部表面に接続されている一端を含むステム部分を含んでよい。ベース部分は略円筒形であり、処理チャンバの上部表面から離隔配置された場所において、ステム部分の反対側の端部から半径方向外向きに延びている。シャワーヘッドのベース部分の基板に面する表面またはフェースプレートは、気化した前駆体、プロセスガス、またはパージガスが流れる複数の穴を含む。代わりに、上部電極24は導電性プレートを含んでよく、プロセスガスは別の方法で導入されてよい。
ESC26は、下部電極として機能するベースプレート30を含む。ベースプレート30は、セラミックマルチゾーン加熱プレートに対応してよい加熱プレート32を支持している。熱抵抗層34が、加熱プレート32とベースプレート30との間に配置されてよい。ベースプレート30は、ベースプレート30を通して冷却剤を流すための1つ以上のチャネル36を含んでよい。
プラズマが使用される場合、RF生成システム40は、RF電圧を生成し、上部電極24および下部電極(例えば、ESC26のベースプレート30)のうちの一方に出力する。上部電極24およびベースプレート30のうちの他方は、DC接地、AC接地、またはフローティングであってよい。例としてのみ、RF生成システム40はRF電力を生成するRF発生器42を含んでよく、RF電力は、マッチングおよび分配ネットワーク44によって上部電極24またはベースプレート30に供給される。他の例では、プラズマは、誘導的にまたはリモートで生成されてよい。
ガス送達システム50は、1つ以上のガス源52−1、52−2、…、および52−N(総称してガス源52)を含み、ここでNはゼロより大きい整数である。ガス源52は、バルブ54−1、54−2、…、および54−N(総称してバルブ54)と、マスフローコントローラ56−1、56−2、…、および56−N(総称してマスフローコントローラ56)とによってマニホールド60に接続されている。蒸気送達システム61が、気化した前駆体を、処理チャンバ22に接続されたマニホールド60または別のマニホールド(図示せず)に供給する。マニホールド60の出力は、処理チャンバ22に供給される。
温度コントローラ63が、加熱プレート32内に配置された複数の熱制御要素(TCE)64に接続されてよい。温度コントローラ63を使用して、複数のTCE64を制御して、ESC26および基板28の温度を制御してよい。温度コントローラ63は、冷却剤アセンブリ66と通信して、チャネル36を流れる冷却剤の流れを制御してよい。例えば、冷却剤アセンブリ66は、冷却剤ポンプ、リザーバ、および1つ以上の温度センサを含んでよい。温度コントローラ63は、冷却剤アセンブリ66を操作して、冷却剤をチャネル36を通して選択的に流してESC26を冷却する。
バルブ70およびポンプ72を使用して、反応物質を処理チャンバ22から排出してよい。システムコントローラ80を使用して、システム20の構成要素を制御してよい。いくつかの例では、シャワーヘッドは、抵抗加熱器を使用して加熱されてよい(図3に示す例を参照)。コントローラ80を、図3〜図6Bを参照して後述するものなど、シャワーヘッドに配置された1つ以上の温度センサに接続して、シャワーヘッドの温度を測定し、センサを使用して測定された温度に基づいてシャワーヘッドの加熱を制御してよい。コントローラ80は、図3〜図6Bを参照して以下で詳述するように、抵抗加熱器およびセンサへの、それぞれAC電力およびDC電力の供給を制御してよい。
図2は、基板の層をエッチングするための処理チャンバ100の例を示す。特定のチャンバが示され、説明されているが、本開示の教示は、他の基板処理装置に適用されてよい。処理チャンバ100は、下側チャンバ領域102および上側チャンバ領域104を含む。下側チャンバ領域102は、チャンバ側壁表面108、チャンバ底部表面110、およびガス分配装置114の下側表面によって画定されている。
上側チャンバ領域104は、ガス分配装置114の上側表面とドーム118の内面とによって画定されている。いくつかの例では、ドーム118は、第1の環状支持体121上に載置されている。いくつかの例では、第1の環状支持体121は、プロセスガスを上側チャンバ領域104へと送達するための1つ以上の離隔配置された穴123を含む。いくつかの例では、プロセスガスは、1つ以上の離隔配置された穴123によって、ガス分配装置114を含む平面に対して鋭角で上向き方向に送達されるが、他の角度/方向を使用してよい。いくつかの例では、第1の環状支持体121内のガス流路134が、1つ以上の離隔配置された穴123にガスを供給する。
第1の環状支持体121は、プロセスガスをガス流路129から下側チャンバ領域102へと送達するための1つ以上の離隔配置された穴127を画定する第2の環状支持体125上に載置されてもよい。いくつかの例では、ガス分配装置114の穴131は、穴127と整列している。他の例では、ガス分配装置114はより小さな直径を有し、穴131は必要ではない。いくつかの例では、プロセスガスは、1つ以上の離隔配置された穴127によって、ガス分配装置114を含む平面に対して鋭角で基板126に向かって下向き方向に送達されるが、他の角度/方向を使用してよい。
他の例では、上側チャンバ領域104は、平坦な上部表面を有する円筒形であり、1つ以上の平坦な誘導コイルを使用してよい。更に他の例では、シャワーヘッドと基板支持体との間に位置するスペーサを有する単一のチャンバを使用してよい。
基板支持体122は、下側チャンバ領域104内に配置されている。いくつかの例では、基板支持体122は、静電チャック(ESC)を含むが、他のタイプの基板支持体を使用することができる。基板126は、エッチング中に基板支持体122の上側表面に配置されている。いくつかの例では、基板126の温度は、加熱器プレート130、任意選択の、流体チャネルを有する冷却プレート、および1つ以上のセンサ(図示せず)によって制御されてよいが、任意の他の好適な基板支持体温度制御システムを使用してよい。
いくつかの例では、ガス分配装置114は、シャワーヘッド(例えば、複数の離隔配置された穴133を有するプレート128)を含む。複数の離隔配置された穴133は、プレート128の上側表面からプレート128の下面まで延びている。いくつかの例では、離隔配置された穴133は、0.4インチ〜0.75インチの範囲の直径を有し、シャワーヘッドは、アルミニウムなどの導電性材料で作製されるか、または導電性材料で作製された埋設電極を有してセラミックなどの非導電性材料で作製される。
1つ以上の誘導コイル140が、ドーム118の外側部分の周りに配置されている。通電されると、1つ以上の誘導コイル140は、ドーム118の内側に電磁場を生成する。いくつかの例では、上部コイルと下部コイルが使用される。ガスインジェクタ142は、ガス送達システム150−1から1つ以上のガス混合物を注入する。
いくつかの例では、ガス送達システム150−1は、1つ以上のガス源152、1つ以上のバルブ154、1つ以上のマスフローコントローラ(MFC)156、および混合マニホールド158を含むが、他のタイプのガス送達システムが使用されてよい。ガススプリッタ(図示せず)を使用して、ガス混合物の流量を変化させてよい。別のガス送達システム150−2を使用して(ガスインジェクタ142からのエッチングガスに加えて、またはその代わりに)、エッチングガスまたはエッチングガス混合物をガス流路129および/または134に供給してよい。
いくつかの例では、ガスインジェクタ142は、ガスを下向き方向に導く中央注入場所と、下向き方向に対してある角度でガスを注入する1つ以上の側部注入場所とを含む。いくつかの例では、ガス送達システム150−1は、ガス混合物の第1の部分を第1の流量にてガスインジェクタ142の中央注入場所に送達し、ガス混合物の第2の部分を第2の流量にて側部注入場所に送達する。他の例では、異なるガス混合物がガスインジェクタ142によって送達される。いくつかの例では、ガス送達システム150−1は、後述するように、調整ガスをガス流路129および134に、および/または処理チャンバ内の他の場所に送達する。
プラズマ発生器170を使用してRF電力を生成することができ、RF電力は1つ以上の誘導コイル140に出力される。プラズマ190は、上側チャンバ領域104において生成される。いくつかの例では、プラズマ発生器170は、RF発生器172および整合ネットワーク174を含む。整合ネットワーク174は、RF発生器172のインピーダンスを、1つ以上の誘導コイル140のインピーダンスに整合させる。いくつかの例では、ガス分配装置114は、接地などの基準電位に接続されている。バルブ178およびポンプ180を使用して、下側チャンバ領域102および上側チャンバ領域104の内部の圧力を制御し、反応物質を排出してもよい。
コントローラ176は、ガス送達システム150−1および150−2、バルブ178、ポンプ180、およびプラズマ発生器170と通信して、プロセスガスとパージガスの流れ、RFプラズマ、およびチャンバ圧力を制御する。いくつかの例では、プラズマは、1つ以上の誘導コイル140によって、ドーム118内部で持続される。1つ以上のガス混合物が、ガスインジェクタ142(および/または穴123)を使用してチャンバの上部から導入され、プラズマは、ガス分配装置114を使用してドーム118内に閉じ込められる。
プラズマをドーム118に閉じ込めることにより、プラズマ種の内部再結合が可能になり、ガス分配装置114を介して所望のエッチャント種を放出させることが可能になる。いくつかの例では、基板126に印加されるRFバイアスはない。結果として、基板126上にアクティブなシースはなく、イオンはいかなる有限なエネルギーでも基板にぶつかることはない。いくらかの量のイオンが、ガス分配装置114を通って拡散してプラズマ領域から出ることになる。しかしながら、拡散するプラズマの量は、ドーム118の内側に位置するプラズマよりも一桁少ない。プラズマ中の大部分のイオンは、高圧では内部再結合によって失われる。ガス分配装置114の上側表面での表面再結合の損失はまた、ガス分配装置114の下におけるイオン密度を低下させる。
他の例では、RFバイアス発生器184が設けられ、RF発生器186および整合ネットワーク188を含む。RFバイアスを使用して、ガス分配装置114と基板支持体との間にプラズマを生成させること、または基板126上に自己バイアスを生成させてイオンを引き付けることが可能である。コントローラ176を使用して、RFバイアスを制御してよい。
いくつかの例では、シャワーヘッドは、抵抗加熱器を使用して加熱されてよい(図3に示す例を参照)。コントローラ176を、図3〜図6Bを参照して後述するものなど、シャワーヘッドに配置された1つ以上の温度センサに接続して、シャワーヘッドの温度を測定し、センサを使用して測定された温度に基づいてシャワーヘッドの加熱を制御してよい。コントローラ176は、図3〜図6Bを参照して以下で詳述するように、抵抗加熱器およびセンサへの、それぞれAC電力およびDC電力の供給を制御してよい。
図3は、シャワーヘッドの温度を測定するための、そして測定された温度に基づいてシャワーヘッドの加熱を制御するための抵抗要素を使用する、本開示による制御システム200を示す。制御システム200は、シャワーヘッド202およびコントローラ204を備える。シャワーヘッド202は、図1および図2に示すシャワーヘッド29、114と同様であってよい。コントローラ204は、図1および図2に示すコントローラ80、176と同様であってよい。
シャワーヘッド202は、アルミニウムまたはその合金などの金属のブロックから作製されてよい。抵抗加熱器206は、シャワーヘッド202内に埋め込まれている。加えて、1つ以上の抵抗要素208、210がシャワーヘッド202内に埋め込まれている。抵抗要素208は、以下に説明するように、シャワーヘッド202の温度を測定するために使用される。1つだけの抵抗要素208が示されているが、シャワーヘッド202の金属ブロック全体に、複数の抵抗要素208を配置してよく、複数の抵抗要素208によって提供される温度測定値を平均化して、制御システム200の精度を改善してよい。抵抗要素210は、任意選択で、以下に説明するように温度過上昇保護のために使用される。代替として、スナップスイッチ(上記)、熱電対、または他の好適な装置などの他の保護要素を代わりに使用してよい。
抵抗要素208は、タングステン、白金、またはモリブデンなどの、単一の金属または要素のワイヤ212を備える。ワイヤ212に使用される材料は、高い温度抵抗係数(TCR)を有し、シャワーヘッド202の温度が僅かに変化すると、抵抗がある程度変化する。ワイヤ212に使用される材料の温度/抵抗曲線の傾きは、ワイヤ212の抵抗を測定することによってシャワーヘッド202の温度の僅かな変化を検出できるようなものである。ワイヤ212は、絶縁体214によって取り囲まれている。絶縁体214は、電気の不良導体であり、熱の良導体である。例えば、高い熱伝導率(ワイヤ212を形成するために使用される金属の熱伝導率と適合する)を有する誘電体材料(例えば、MgO)を絶縁体214として使用してよい。それに応じて、抵抗要素208は、シャワーヘッド202から電気的に絶縁されているが、熱伝導性が高い。抵抗要素208は、抵抗要素208がシャワーヘッド202の金属ブロックと密接に熱的に接触しているように、シャワーヘッド202の金属ブロックに熱的に接続されている。抵抗要素208を金属ブロックに熱的に接続するために使用されるプロセスおよび材料の非限定的な例としては、熱伝導性エポキシ結合材料を使用すること、または溶接、ろう付け、圧伸成形(swaging)などを介して、もしくはインターフェースフィットを使用して、抵抗要素208を取り囲む保護シースを金属ブロックに結合させることが挙げられる。
ワイヤ212、絶縁体214、および/または抵抗要素208全体の製造業者は、ワイヤ212、絶縁体214、および/または抵抗要素208の温度/抵抗の関係を示すルックアップテーブルを提供してよい。しかしながら、製造によって提供されるルックアップテーブルは、抵抗要素208が動作する環境、すなわちシャワーヘッド202を考慮に入れていない。例えば、ワイヤ212を取り囲む絶縁体214は、シャワーヘッド202からワイヤ212への熱伝達の速度に影響を与える可能性がある。そのような影響を考慮するために、シャワーヘッド202に取り付けられた抵抗要素208を用いて、インサイチューでの較正手順が実施される。シャワーヘッド202に取り付けられた抵抗要素208に関する、実際の抵抗要素208の温度/抵抗関係を示す具体的なルックアップテーブルは、較正手順を使用して生成される。抵抗要素208の抵抗が上述したように決定される場合は、ワイヤ212、絶縁体214、および/または抵抗要素208全体に関する製造業者のルックアップテーブルではなく、この特定のルックアップテーブルを使用して、シャワーヘッド202の温度を推測または決定する。更に、複数の抵抗要素208がシャワーヘッド202内の異なるゾーンに配置されている場合(図6Aと図6B、および以下の対応する説明を参照)、各ゾーンの抵抗要素208は、インサイチューで別々に較正される。更に、シャワーヘッド202の正確な熱制御のために、ワイヤ212および絶縁体214のために使用される材料は、それらの熱特性および抵抗特性が、時間が経過しても安定したままであるように選択される。
抵抗要素208は熱電対ではない。具体的には、2種の金属でできている熱電対とは異なり、抵抗要素208のワイヤ212は、単一の金属でできている。更に、その2つの要素間の温度差を感知して電圧信号を生成する熱電対とは異なり、抵抗要素208は、その抵抗を変化させることによって、その周囲の温度変化に応答する。したがって、抵抗要素208は、その抵抗の観点で温度を感知する単一要素構造であり、温度を感知して電圧信号を出力する熱電対のような2要素構造ではない。
図4は、抵抗要素208の構造をより明確に示す。抵抗要素210は、使用される場合、抵抗要素208と同様に構築および取り付けられる。抵抗要素208、210において、抵抗器は、ワイヤ212の抵抗を表すように示され、例示の目的でのみ示されている。示されている抵抗器は、ワイヤ212が抵抗を有することを示しているにすぎない。抵抗要素208、210は、ワイヤ212に加えて、抵抗を含むことはない。
更に、抵抗要素208、210は、2端子装置として示されている(すなわち、それぞれが2つの端子またはリードを有する)。しかしながら、示されていないが、抵抗要素208、210は、それぞれ3つまたは4つの端子を含むことができ、これは本開示の範囲内にある。抵抗要素208、210に関連する回路構成(例えば、以下に示され説明される温度測定回路222および温度過上昇保護回路224)は、それぞれが有するリードの数に応じて適合され得る。
図4はまた、シャワーヘッド202におけるDCバイアスの存在を示す。DCバイアスは、基板支持体に対する、シャワーヘッド202上のプラズマ誘起DC電位(自己バイアスと呼ばれる)を含んでよい。代替としてまたは加えて、DCバイアスは、基板に対する(例えば、基板から離れる)ラジカルの流れを制御するためにシャワーヘッド202に供給されるバイアスを含んでよい。熱電対ベースの制御を不正確にするのは、このDCバイアスである。対照的に、抵抗要素208に基づく制御は、抵抗要素208を使用してシャワーヘッドの温度が測定される方法(具体的には、DC電圧を抵抗要素208に印加し、抵抗要素208を流れる電流(および両端の電圧)を測定し、抵抗要素208の抵抗を決定し、抵抗を相関させてシャワーヘッド202の温度を推測すること)に起因して、DCバイアスの影響を免れる(すなわち、影響を受けない)。
図3では、コントローラ204は、電力制御回路220、温度測定回路222、および温度過上昇保護回路224を備える。コントローラ204は、抵抗加熱器206および抵抗要素208、210にそれぞれ供給されるAC電力およびDC電力を受け取る。電力制御回路220は、以下に説明するように、温度測定回路222および温度過上昇保護回路224の制御下で、AC電力を抵抗加熱器206に供給する。
温度測定回路222は、抵抗要素208にDC電圧を供給する。温度測定回路222はまた、抵抗要素208に供給されるDC電圧を測定してもよい。温度測定回路222は、抵抗要素208を流れる電流を測定し、この電流は、シャワーヘッド202の温度の変化に基づいて抵抗要素208の抵抗が変化するにつれて変化する。図4は、抵抗要素208へのDC電圧の印加、および抵抗要素208を流れる電流(および両端の電圧)の測定を概略的に示す。
温度測定回路222は、抵抗要素208を流れる測定された電流と、抵抗要素208に印加されるDC電圧とに基づいて、抵抗要素208の抵抗を決定する。温度測定回路222は、事前に生成されたルックアップテーブルを使用して、または抵抗要素208の温度/抵抗関係を表す数式を使用して、測定された抵抗をシャワーヘッド202の温度と相関させる。
温度測定回路222は、シャワーヘッド202の測定された温度を、シャワーヘッド202の設定点温度と比較する。温度測定回路222は、シャワーヘッド202の測定された温度がシャワーヘッド202の設定点温度よりも高いまたは低いという指標を電力制御回路220に出力する。電力制御回路220は、シャワーヘッド202の測定された温度が設定点温度よりも高いか低いかに応じて、抵抗加熱器206に供給されるAC電力を減少または増加させる。
複数の抵抗要素208を使用してシャワーヘッドの温度を測定する場合、追加の平均化回路(図示せず)を使用して、複数の抵抗要素208の各々からの温度測定値を平均化することができる。更に、電力制御回路220に出力される指標は、温度測定値の平均とシャワーヘッド202の設定点温度との比較に基づいている。
温度過上昇保護回路224はまた、温度測定回路222を参照して説明したのと同じ方法で、抵抗要素210を使用してシャワーヘッド202の温度を測定する。温度過上昇保護回路224は、シャワーヘッド202の測定された温度を所定の閾値温度と比較する。例えば、所定の閾値温度は、シャワーヘッドの温度が制御される温度範囲の上限以上である。温度過上昇保護回路224は、シャワーヘッド202の測定された温度が所定の閾値温度よりも高いまたは低いという指標を電力制御回路220に出力する。電力制御回路220は、シャワーヘッド202の測定された温度が所定の閾値温度以上である場合、抵抗加熱器206へのAC電力の供給を切断または停止させる。
図5は、温度測定回路222の例を示す。例えば、温度測定回路222は、DC電源230、電流測定回路232、電圧測定回路233(任意選択)、抵抗測定回路234、変換回路236、および比較器238を含んでよい。DC電源230は、抵抗要素208の両端にDC電圧を供給する。電流測定回路232は、抵抗要素208を流れる電流を測定する。電圧測定回路233は、DC電源230によって抵抗要素208の両端に印加されたDC電圧を測定する。抵抗測定回路234は、抵抗要素208を流れる測定された電流と、抵抗要素208に供給される既知のまたは測定されたDC電圧とに基づいて、抵抗要素208の抵抗を測定する。
抵抗測定回路234は、抵抗測定値を変換回路236に出力する。変換回路236は、抵抗要素208の温度/抵抗関係を表すルックアップテーブルまたは数式を利用して、抵抗測定値を温度測定値に変換し、抵抗測定値に対応する温度測定値を出力する。換言すれば、変換回路236の出力は、抵抗測定回路234によって実施された抵抗測定に基づくシャワーヘッド202の現在温度を示す。
比較器238は、変換回路236によって出力された温度測定値をシャワーヘッド202の設定点温度と比較する。比較器238は、シャワーヘッド202の温度が設定点温度よりも高いまたは低いという指標を電力制御回路220に出力する。
電力制御回路220は、シャワーヘッド202の測定された温度が設定点温度よりも高いか低いかに応じて、抵抗加熱器206に供給されるAC電力を減少または増加させる。電力制御回路220は、シャワーヘッド202の測定された温度に基づいて、抵抗加熱器206に供給されるAC電力を減少または増加させる。例えば、電力制御回路220は、シャワーヘッド202の測定された温度が設定点温度よりも低いか高いかに応じて、抵抗加熱器206に供給されるAC電力の量を増加または減少させてよい。代替として、電力制御回路220は、シャワーヘッド202の測定された温度が設定点温度よりも低いか高いかに応じて、AC電力が抵抗加熱器206に供給される持続時間を増加または減少させてよい。
温度過上昇保護回路224は、温度過上昇保護回路224内の比較器が、シャワーヘッド202の測定された温度を、設定点温度よりも遥かに高い(例えば、シャワーヘッドの温度が制御される温度範囲の上限以上の)所定の閾値温度と比較してよいことを除いて、温度測定回路222と同様の構造を有してよい。更に、温度過上昇保護回路224内の比較器が、シャワーヘッド202の温度が所定の閾値温度以上であるという指標を出力した場合、電力制御回路220は、抵抗加熱器206へのAC電力供給を増加または減少させるのではなく、抵抗加熱器206へのAC電力供給を遮断する。
ここで図6Aおよび図6Bを参照すると、いくつかの実現形態では、シャワーヘッド202は、複数のゾーンに分割されてよい。抵抗加熱器206などの別々の抵抗加熱器、および抵抗要素208などの別々の抵抗要素が各ゾーン内に配置されてよい。例としてのみ、ゾーンは、図6Aに示すような同心ゾーンZ1、Z2、およびZ3、または図6Bに示すような放射状ゾーンZ1〜Z6を含んでよい。示されているゾーンよりも少ないゾーンまたは追加のゾーンを使用してよい。代替として、示されているもの以外のタイプのゾーン分け構成を使用してもよい。ゾーンZi内の抵抗加熱器は、ゾーンZi内の抵抗要素によって測定されるゾーンZiの温度に基づいて制御される。シャワーヘッド202の温度は、シャワーヘッド202全体にわたってほぼ均一に維持することができる。
図7は、本開示による抵抗要素を使用する測定された温度に基づいて、シャワーヘッドの温度を測定し、シャワーヘッドの加熱を制御するための方法300を示す。302において、この方法では、シャワーヘッドアセンブリの熱質量部中に埋め込まれた抵抗要素にDC電圧が印加される。304において、この方法は、抵抗要素を流れる電流(および任意選択で両端の電圧)を測定する。306において、この方法は、測定された電流と既知のまたは測定された印加電圧とに基づいて抵抗要素の抵抗を測定する。308において、この方法は、測定された抵抗に基づいてシャワーヘッドの温度を決定する。310において、この方法は、シャワーヘッドの温度をシャワーヘッドの設定点温度と比較する。312において、この方法は、上述した比較に基づいて、シャワーヘッド加熱器への電力供給を制御する。いくつかの実現形態では、上述したように、この方法はまた、シャワーヘッドの温度が所定の閾値温度以上である場合に、シャワーヘッド加熱器への電力を遮断する。
前述の説明は本質的に単なる例示に過ぎず、本開示、その適用、または使用を限定することを意図するものではない。本開示の広範な教示は、様々な形で実現することができる。したがって、本開示は特定の例を含むが、図面、明細書、および以下の特許請求の範囲を検討すると、他の修正形態が明らかになるであろうから、本開示の真の範囲はそのように限定されるべきではない。方法における1つ以上のステップは、本開示の原理を変更することなく、異なる順序で(または同時に)実行してよいことを理解すべきである。更に、実施形態の各々は、特定の特徴を有するものとして上述されているが、本開示の任意の実施形態に関して記載されているこれらの特徴のいずれか1つ以上が、他の実施形態のいずれかにおいて実現することができ、および/または、他の実施形態のいずれかの特徴と組み合わせることができ、その組み合わせは、たとえ明示的に説明されていなくてもよい。換言すれば、記載した実施形態は相互排他的ではなく、1つ以上の実施形態の順序を互いに並べ換えることは、本開示の範囲内にとどまる。
要素間の空間的および機能的関係(例えば、モジュール間、回路要素間、半導体層間など)は、「接続された」、「係合された」、「結合された」、「隣接する」、「隣の」、「上の」、「上方の」、「下方の」、「配置された」を含む様々な用語を使用して説明される。「直接」であると明示的に記載されていない限り、第1の要素と第2の要素との間の関係が上述した開示に記載されている場合、その関係は、第1の要素と第2の要素との間に他の介在要素が存在しない直接的な関係であり得るが、1つ以上の介在要素が(空間的または機能的に)第1の要素と第2の要素との間に存在する間接的な関係でもあり得る。本明細書で使用する場合、A、B、およびCのうちの少なくとも1つ、という語句は、非排他的論理和ORを使用した論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実現形態では、コントローラは、上述した実施例の一部であってよいシステムの一部である。このようなシステムは、処理ツール(単数または複数)、チャンバ(単数または複数)、処理用プラットフォーム(単数または複数)、および/または特定の処理構成要素(ウェハー台座、ガスフローシステムなど)を含む、半導体処理装置を備えることができる。これらシステムは、半導体ウェハーまたは基板の処理前、処理中、および処理後の作業を制御するための電子機器に組み込まれてよい。電子機器は「コントローラ」と呼ばれてよく、システム(単数または複数)の様々な構成要素または副部品を制御してよい。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの送達、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送達設定、位置および作業設定、特定のシステムと接続しているかまたはインターフェースしているツールおよび他の搬送ツールならびに/またはロードロックに対するウェハーの搬出入、を含む、本明細書に開示されるプロセスのいずれをも制御するようにプログラムされてよい。
大まかに言って、コントローラは、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有し、命令を受信し、命令を発行し、作業を制御し、クリーニング作業を有効にし、エンドポイント測定を有効にするような電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または1つ以上のマイクロプロセッサ、またはプログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラ、を含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形態でコントローラに通信される命令であって、特定のプロセスを半導体ウェハー上でもしくは半導体ウェハー用に、またはシステムに対して実施するための作業パラメータを定義してよい。いくつかの実施形態では、作業パラメータは、1つ以上の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/または半導体ウェハーの作製時に、1つ以上の処理ステップを実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実現形態では、コントローラは、システムに組み込まれた、システムに結合された、もしくはシステムにネットワーク接続された、またはこれらの組み合わせであるコンピュータの一部であるか、またはそのコンピュータに結合されていてよい。例えば、コントローラは「クラウド」内にあるか、またはファブホストコンピュータシステムの全てもしくは一部であってよく、それによりウェハー処理のリモートアクセスが可能になり得る。コンピュータは、システムへのリモートアクセスを可能にして、製造作業の現在の進行状況を監視し、過去の製造作業の履歴を調査し、複数の製造作業から傾向または性能の指標を調査して、現在の処理のパラメータを変更し、現在の処理に続く処理ステップを設定するか、または新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを経由して、プロセスレシピをシステムに提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力もしくはプログラミングを可能にするユーザインターフェースを含んでよく、パラメータおよび/または設定は次に、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、1つ以上の作業中に実施される各処理ステップのためのパラメータを指定するデータ形式の命令を受信する。パラメータは、実施されるプロセスのタイプ、およびコントローラがインターフェースするか、または制御するように構成されているツールのタイプに固有のものであってよいことを理解されたい。したがって、上述したように、コントローラは、1つ以上の個別のコントローラを備え、これらが一緒にネットワーク化され、本明細書に記載のプロセスおよび制御などの共通の目的に向けて動作することなどによって分散されてよい。そのような目的のための分散コントローラの例は、遠隔に置かれた(例えば、プラットフォームレベルで、またはリモートコンピュータの一部として)1つ以上の集積回路と通信状態にあるチャンバ上の1つ以上の集積回路であってよく、これらが組み合わされてチャンバでのプロセスを制御する。
限定するわけではないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーニングチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理気相成長(PVD)チャンバまたはモジュール、化学気相成長(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および半導体ウェハーの作製および/または製造に関連するかもしくは使用されてよい任意の他の半導体処理システム、を含んでよい。
上述したように、ツールによって実施されるプロセスステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接ツール、隣り合うツール、工場全体に置かれたツール、メインコンピュータ、別のコントローラ、または半導体製造工場内のツール場所および/またはロードポートとの間でウェハー容器を搬出入する材料搬送に使用されるツール、のうちの1つ以上と通信し得る。

Claims (28)

  1. プラズマチャンバのシャワーヘッドの温度を測定し、前記温度に基づいて前記シャワーヘッドの加熱を制御するためのシステムであって、前記システムは、
    前記プラズマチャンバの前記シャワーヘッドを加熱するための抵抗加熱器と、
    前記プラズマチャンバの前記シャワーヘッドに熱的に結合された抵抗要素であって、前記抵抗要素は単一の金属を含み、前記単一の金属の温度変化に応じて抵抗を変化させる、抵抗要素と、
    コントローラであって、
    前記抵抗加熱器に電力を供給して前記シャワーヘッドを加熱し、
    前記抵抗要素に電圧を供給し、
    前記抵抗要素を流れる電流を測定し、
    前記抵抗要素に供給された前記電圧と、前記抵抗要素を流れる測定された前記電流とに基づいて、前記抵抗要素の抵抗を決定し、
    前記抵抗要素の前記抵抗に基づいて前記シャワーヘッドの前記温度を決定し、
    前記温度を前記シャワーヘッドの設定点温度と比較し、
    前記比較に基づいて、前記抵抗加熱器への電力の前記供給を制御して、前記シャワーヘッドの前記加熱を制御するように構成されている、コントローラと、を備えるシステム。
  2. 請求項1に記載のシステムであって、前記コントローラは、前記抵抗要素のインサイチューでの較正を実施することによって生成されたルックアップテーブルを使用して、前記シャワーヘッドの前記温度を決定するように構成されている、システム。
  3. 請求項1に記載のシステムであって、前記コントローラは、
    前記シャワーヘッドの前記温度が前記設定点温度以下であることに応答して、前記抵抗加熱器に供給される前記電力を増加させ、
    前記シャワーヘッドの前記温度が前記設定点温度以上であることに応答して、前記抵抗加熱器に供給される前記電力を減少させるように構成されている、システム。
  4. 請求項1に記載のシステムであって、前記抵抗要素は絶縁材料内に閉じ込められて、前記抵抗要素は前記シャワーヘッドから電気的に絶縁されており、前記絶縁材料は熱の良導体である、システム。
  5. 請求項1に記載のシステムであって、前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドに関連するDCバイアスの影響を免れる、システム。
  6. 請求項5に記載のシステムであって、前記DCバイアスは前記プラズマチャンバ内のプラズマによって誘起される、システム。
  7. 請求項5に記載のシステムであって、前記DCバイアスは前記シャワーヘッドに印加されて、前記プラズマチャンバ内の粒子の流れを制御する、システム。
  8. 請求項1に記載のシステムであって、前記シャワーヘッドは第1の電極を備え、前記システムは、
    処理中に基板を支持するように構成されている基板支持体であって、第2の電極を備える、基板支持体と、
    RF電力を生成するように構成されているRF発生器と、
    前記プラズマチャンバ内にプロセスガスを供給するように構成されているガス送達システムと、を更に備え、
    前記コントローラは、前記プロセスガスが供給されて前記プラズマチャンバ内でプラズマを発生させることに応答して、前記第1の電極および前記第2の電極にわたって前記RF電力を印加するように更に構成されており、
    前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドにおける前記プラズマによって誘起されるDCバイアスの影響を受けない、システム。
  9. 請求項8に記載のシステムであって、
    前記コントローラは、前記シャワーヘッドにDCバイアスを印加して、前記プラズマチャンバ内の粒子の流れを制御するように構成され、
    前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドに印加される前記DCバイアスの影響を受けない、システム。
  10. 請求項1に記載のシステムであって、前記抵抗加熱器に供給される前記電力はAC電力を含み、前記抵抗要素に供給される前記電圧はDC電圧を含む、システム。
  11. 請求項1に記載のシステムであって、
    前記シャワーヘッドに熱的に結合された第2の抵抗要素を更に備え、前記第2の抵抗要素は前記単一の金属を含み、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記第2の温度を所定の温度閾値と比較し、
    前記第2の温度が前記所定の温度閾値以上であることに応答して、前記抵抗加熱器への電力の前記供給を停止させて、前記シャワーヘッドが過熱することを防止するように構成されている、システム。
  12. 請求項1に記載のシステムであって、
    前記シャワーヘッドに熱的に結合された第2の抵抗要素を更に備え、前記第2の抵抗要素は前記単一の金属を含み、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記温度と前記第2の温度とに基づいて前記シャワーヘッドの平均温度を決定し、
    前記平均温度を前記設定点温度と比較し、
    前記比較に基づいて前記抵抗加熱器への電力の前記供給を制御するように構成されている、システム。
  13. 請求項1に記載のシステムであって、
    前記シャワーヘッドを加熱するために、前記シャワーヘッド内の前記抵抗加熱器の場所とは異なる場所に配置された第2の抵抗加熱器と、
    前記異なる場所に近接して前記シャワーヘッドに熱的に結合された第2の抵抗要素と、を更に備え、前記第2の抵抗要素は前記単一の金属を含み、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記第2の温度を前記設定点温度と比較し、
    前記比較に基づいて前記第2の抵抗加熱器への電力の前記供給を制御するように構成されている、システム。
  14. 請求項13に記載のシステムであって、前記コントローラは、前記第2の抵抗要素のインサイチューでの較正を実施することによって生成された第2のルックアップテーブルを使用して、前記シャワーヘッドの前記温度を決定するように構成されている、システム。
  15. プラズマチャンバ用のシャワーヘッドであって、前記シャワーヘッドは、
    前記プラズマチャンバ内の前記シャワーヘッドを加熱するための電力を受け取るように構成されている抵抗加熱器と、
    前記プラズマチャンバの前記シャワーヘッドに熱的に結合されている抵抗要素と、を備え、
    前記抵抗要素は、前記シャワーヘッドの温度変化に応答して、抵抗を変化させ、
    前記抵抗要素は絶縁材料内に封じ込められて、前記抵抗要素は前記シャワーヘッドから電気的に絶縁されており、
    前記絶縁材料は熱の良導体であり、
    前記抵抗加熱器への前記電力は、前記抵抗要素の前記抵抗に基づいて受け渡される、シャワーヘッド。
  16. システムであって、
    請求項15に記載のシャワーヘッドと、
    コントローラであって、
    前記抵抗加熱器に前記電力を供給し、
    前記抵抗要素に電圧を供給し、
    前記抵抗要素を通る電流を測定し、
    前記抵抗要素に供給された前記電圧と、前記抵抗要素を流れる測定された前記電流とに基づいて、前記抵抗要素の前記抵抗を決定し、
    前記抵抗要素の前記抵抗に基づいて前記シャワーヘッドの前記温度を決定し、
    前記温度を前記シャワーヘッドの設定点温度と比較し、
    前記比較に基づいて、前記抵抗加熱器への前記電力の前記供給を制御して、前記シャワーヘッドの前記加熱を制御するように構成されている、コントローラと、を備えるシステム。
  17. 請求項16に記載のシステムであって、前記コントローラは、前記抵抗要素のインサイチューでの較正を実施することによって生成されたルックアップテーブルを使用して、前記シャワーヘッドの前記温度を決定するように構成されている、システム。
  18. 請求項16に記載のシステムであって、前記コントローラは、
    前記シャワーヘッドの前記温度が前記設定点温度以下であることに応答して、前記抵抗加熱器に供給される前記電力を増加させ、
    前記シャワーヘッドの前記温度が前記設定点温度以上であることに応答して、前記抵抗加熱器に供給される前記電力を減少させるように構成されている、システム。
  19. 請求項16に記載のシステムであって、前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドに関連するDCバイアスの影響を免れる、システム。
  20. 請求項19に記載のシステムであって、前記DCバイアスは前記プラズマチャンバ内のプラズマによって誘起される、システム。
  21. 請求項19に記載のシステムであって、前記DCバイアスは前記シャワーヘッドに印加されて、前記プラズマチャンバ内の粒子の流れを制御する、システム。
  22. 請求項16に記載のシステムであって、前記シャワーヘッドは第1の電極を含み、前記システムは、
    処理中に前記基板を支持するように構成されている基板支持体であって、第2の電極を備える、基板支持体と、
    RF電力を生成するように構成されているRF発生器と、
    前記プラズマチャンバ内にプロセスガスを供給するように構成されているガス送達システムと、を更に備え、
    前記コントローラは、前記プロセスガスが供給されて前記プラズマチャンバ内でプラズマを発生させることに応答して、前記第1の電極および前記第2の電極にわたって前記RF電力を印加するように更に構成されており、
    前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドにおける前記プラズマによって誘起されるDCバイアスの影響を受けない、システム。
  23. 請求項22に記載のシステムであって、
    前記コントローラは、前記シャワーヘッドにDCバイアスを印加して、前記プラズマチャンバ内の粒子の流れを制御するように構成され、
    前記抵抗要素の前記抵抗の前記決定は、前記シャワーヘッドに印加される前記DCバイアスの影響を受けない、システム。
  24. 請求項16に記載のシステムであって、前記抵抗加熱器に供給される前記電力はAC電力を含み、前記抵抗要素に供給される前記電圧はDC電圧を含む、システム。
  25. 請求項16に記載のシステムであって、
    前記シャワーヘッドに熱的に結合されている第2の抵抗要素を更に備え、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記第2の温度を所定の温度閾値と比較し、
    前記第2の温度が前記所定の温度閾値以上であることに応答して、前記抵抗加熱器への電力の前記供給を停止させて、前記シャワーヘッドが過熱することを防止するように構成されている、システム。
  26. 請求項25に記載のシステムであって、前記コントローラは、前記第2の抵抗要素のインサイチューでの較正を実施することによって生成された第2のルックアップテーブルを使用して、前記シャワーヘッドの前記温度を決定するように構成されている、システム。
  27. 請求項16に記載のシステムであって、
    前記シャワーヘッドに熱的に結合されている第2の抵抗要素を更に備え、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記温度と前記第2の温度とに基づいて前記シャワーヘッドの平均温度を決定し、
    前記平均温度を前記設定点温度と比較し、
    前記比較に基づいて前記抵抗加熱器への電力の前記供給を制御するように構成されている、システム。
  28. 請求項16に記載のシステムであって、前記システムは、
    前記シャワーヘッドを加熱するために、前記シャワーヘッド内の前記抵抗加熱器の場所とは異なる場所に配置された第2の抵抗加熱器と、
    前記異なる場所に近接して前記シャワーヘッドに熱的に結合された第2の抵抗要素と、を更に備え、
    前記コントローラは、
    前記第2の抵抗要素に前記電圧を供給し、
    前記第2の抵抗要素を流れる電流を測定し、
    前記第2の抵抗要素に供給された前記電圧と、前記第2の抵抗要素を流れる測定された前記電流とに基づいて、前記第2の抵抗要素の抵抗を決定し、
    前記第2の抵抗要素の前記抵抗に基づいて前記シャワーヘッドの第2の温度を決定し、
    前記第2の温度を前記設定点温度と比較し、
    前記比較に基づいて前記第2の抵抗加熱器への電力の前記供給を制御するように構成されている、システム。
JP2021506500A 2018-08-08 2019-08-05 抵抗熱測定を介するシャワーヘッド加熱の制御 Active JP7479344B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/058,090 2018-08-08
US16/058,090 US10872747B2 (en) 2018-08-08 2018-08-08 Controlling showerhead heating via resistive thermal measurements
PCT/US2019/045093 WO2020033304A1 (en) 2018-08-08 2019-08-05 Controlling showerhead heating via resistive thermal measurements

Publications (2)

Publication Number Publication Date
JP2021533568A true JP2021533568A (ja) 2021-12-02
JP7479344B2 JP7479344B2 (ja) 2024-05-08

Family

ID=69406223

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021506500A Active JP7479344B2 (ja) 2018-08-08 2019-08-05 抵抗熱測定を介するシャワーヘッド加熱の制御

Country Status (6)

Country Link
US (1) US10872747B2 (ja)
JP (1) JP7479344B2 (ja)
KR (2) KR20230146118A (ja)
CN (2) CN117660933A (ja)
TW (1) TW202036645A (ja)
WO (1) WO2020033304A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3622098A2 (en) 2017-05-12 2020-03-18 GVD Corporation Systems for depositing coatings on surfaces and associated methods
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
JP2021521648A (ja) * 2018-04-17 2021-08-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 加熱されるセラミック面板
CN113494968B (zh) * 2020-03-19 2022-11-25 长鑫存储技术有限公司 温度量测及温度校准的方法和温度量测系统
CN113432737A (zh) 2020-03-19 2021-09-24 长鑫存储技术有限公司 晶圆卡盘温度量测及温度校准的方法和温度量测系统
US11376626B2 (en) 2020-04-24 2022-07-05 Gvd Corporation Methods and systems for polymer deposition
US11590527B2 (en) 2020-04-24 2023-02-28 Gvd Corporation Systems, methods, and articles for polymer deposition
US11623239B2 (en) 2020-04-24 2023-04-11 Gvd Corporation Systems and methods for polymer deposition
JP2023523019A (ja) * 2020-04-24 2023-06-01 ジーブイディー コーポレイション ポリマー堆積のためのシステムおよび方法
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN114306984B (zh) * 2020-10-09 2022-11-08 上海沃尔沃汽车研发有限公司 灭火装置和电池测试设备
CN115513025A (zh) * 2021-06-23 2022-12-23 北京鲁汶半导体科技有限公司 一种等离子刻蚀机的激励射频系统

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007687A (ja) * 2001-06-26 2003-01-10 Shin Etsu Chem Co Ltd プラズマ処理中の温度測定方法及びそれに使用する温度測定用部材
JP2004235358A (ja) * 2003-01-29 2004-08-19 Kyocera Corp ウェハ加熱装置
JP2011502361A (ja) * 2007-10-31 2011-01-20 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール
JP2012506128A (ja) * 2008-10-17 2012-03-08 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置内における高速応答熱制御のための方法及び装置
JP2012519956A (ja) * 2009-03-03 2012-08-30 ジュソン エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
JP2014522565A (ja) * 2011-05-20 2014-09-04 アプライド マテリアルズ インコーポレイテッド プロセスチャンバ内の複数区域ヒータの温度を制御するための方法および装置
JP2016046524A (ja) * 2014-08-22 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドの能動加熱および/またはペデスタルの冷却によって低温aldシステム内で安定した蒸着速度制御を行うための方法および装置
JP2018502443A (ja) * 2014-10-31 2018-01-25 ワットロー・エレクトリック・マニュファクチャリング・カンパニー ヒーター用熱動的応答感知システム
WO2018034897A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Temperature measurement for substrate carrier using a heater element array

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5280422A (en) 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
JPH07153550A (ja) 1993-12-02 1995-06-16 Chubu Electric Power Co Inc 電気ヒ−タの温度制御方法
JP3986598B2 (ja) 1996-10-08 2007-10-03 キヤノンアネルバ株式会社 基板温度制御機構
JP2000235886A (ja) 1998-12-14 2000-08-29 Tokyo Electron Ltd 加熱手段の温度制御装置および温度制御方法
JP2000339039A (ja) 1999-05-25 2000-12-08 Tokyo Electron Ltd 加熱手段の温度制御方法、その装置及び熱処理装置
JP4209057B2 (ja) 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6783630B2 (en) * 2002-08-27 2004-08-31 Axcelis Technologies, Inc. Segmented cold plate for rapid thermal processing (RTP) tool for conduction cooling
US6977575B2 (en) * 2003-05-22 2005-12-20 Rtd Company Flexible averaging resistance temperature detector
US7196295B2 (en) 2003-11-21 2007-03-27 Watlow Electric Manufacturing Company Two-wire layered heater system
JP4761723B2 (ja) 2004-04-12 2011-08-31 日本碍子株式会社 基板加熱装置
US20070218200A1 (en) 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle formation in a vapor distribution system
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR200454281Y1 (ko) 2007-10-16 2011-06-23 노벨러스 시스템즈, 인코포레이티드 온도 제어 샤워헤드
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
JP5567318B2 (ja) 2009-11-20 2014-08-06 株式会社国際電気セミコンダクターサービス 電力供給システム、基板処理装置、半導体製造装置および劣化診断方法
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120118225A1 (en) 2010-09-16 2012-05-17 Applied Materials, Inc. Epitaxial growth temperature control in led manufacture
MX338215B (es) 2011-08-30 2016-04-06 Watlow Electric Mfg Sistema y metodo para controlar un arreglo termico.
WO2014052301A1 (en) 2012-09-26 2014-04-03 Applied Materials, Inc. Controlling temperature in substrate processing systems
US20150083042A1 (en) * 2013-09-26 2015-03-26 Applied Materials, Inc. Rotatable substrate support having radio frequency applicator
WO2016080502A1 (ja) 2014-11-20 2016-05-26 住友大阪セメント株式会社 静電チャック装置
WO2017004050A1 (en) 2015-06-29 2017-01-05 Applied Materials, Inc. Temperature controlled substrate processing
KR101776562B1 (ko) 2015-08-20 2017-09-07 엔지케이 인슐레이터 엘티디 정전 척 히터
JP6622052B2 (ja) 2015-10-14 2019-12-18 日本特殊陶業株式会社 セラミックヒータ及び静電チャック
US10582570B2 (en) 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10345802B2 (en) * 2016-02-17 2019-07-09 Lam Research Corporation Common terminal heater for ceramic pedestals used in semiconductor fabrication
US10690705B2 (en) 2016-06-15 2020-06-23 Watlow Electric Manufacturing Company Power converter for a thermal system
US10908195B2 (en) 2016-06-15 2021-02-02 Watlow Electric Manufacturing Company System and method for controlling power to a heater
JP6688172B2 (ja) 2016-06-24 2020-04-28 東京エレクトロン株式会社 基板処理システムおよび方法
WO2018016384A1 (ja) 2016-07-19 2018-01-25 日本碍子株式会社 静電チャックヒータ
JP6808423B2 (ja) 2016-09-28 2021-01-06 東京エレクトロン株式会社 基板処理装置および処理液供給方法

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003007687A (ja) * 2001-06-26 2003-01-10 Shin Etsu Chem Co Ltd プラズマ処理中の温度測定方法及びそれに使用する温度測定用部材
JP2004235358A (ja) * 2003-01-29 2004-08-19 Kyocera Corp ウェハ加熱装置
JP2011502361A (ja) * 2007-10-31 2011-01-20 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール
JP2012506128A (ja) * 2008-10-17 2012-03-08 アプライド マテリアルズ インコーポレイテッド プラズマ処理装置内における高速応答熱制御のための方法及び装置
JP2012519956A (ja) * 2009-03-03 2012-08-30 ジュソン エンジニアリング カンパニー リミテッド ガス分配装置およびこれを備える基板処理装置
JP2014522565A (ja) * 2011-05-20 2014-09-04 アプライド マテリアルズ インコーポレイテッド プロセスチャンバ内の複数区域ヒータの温度を制御するための方法および装置
JP2016046524A (ja) * 2014-08-22 2016-04-04 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッドの能動加熱および/またはペデスタルの冷却によって低温aldシステム内で安定した蒸着速度制御を行うための方法および装置
JP2018502443A (ja) * 2014-10-31 2018-01-25 ワットロー・エレクトリック・マニュファクチャリング・カンパニー ヒーター用熱動的応答感知システム
WO2018034897A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Temperature measurement for substrate carrier using a heater element array

Also Published As

Publication number Publication date
US10872747B2 (en) 2020-12-22
WO2020033304A1 (en) 2020-02-13
CN117660933A (zh) 2024-03-08
CN112567070A (zh) 2021-03-26
KR102586827B1 (ko) 2023-10-06
KR20210030995A (ko) 2021-03-18
TW202036645A (zh) 2020-10-01
US20200051789A1 (en) 2020-02-13
JP7479344B2 (ja) 2024-05-08
KR20230146118A (ko) 2023-10-18
CN112567070B (zh) 2023-11-07

Similar Documents

Publication Publication Date Title
JP7479344B2 (ja) 抵抗熱測定を介するシャワーヘッド加熱の制御
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
KR100728312B1 (ko) 정전 흡착장치와 웨이퍼 처리장치 및 플라즈마 처리방법
TWI774652B (zh) 用以進行邊緣環特徵化之系統及方法
TWI818044B (zh) 基板處理系統中之基板支撐件的動態溫度控制
US20220037170A1 (en) Progressive heating of components of substrate processing systems using tcr element-based heaters
JP2022542093A (ja) 基板処理システムにおける不均一性のin situリアルタイム感知および補償
JP7323525B2 (ja) エッジリング摩耗補償のためのシステムおよび方法
KR20210128025A (ko) 온도를 근사화하기 위한 정전 척 히터 저항 측정
US20180173255A1 (en) System and method for calculating substrate support temperture
KR20220024891A (ko) 멀티 존 페데스탈의 온도 제어
TWI840525B (zh) 用以估計溫度的靜電卡盤加熱器電阻量測
CN112753097A (zh) 多路复用的基于高tcr的安瓿加热器
JP2021521640A (ja) 基板処理中の基板温度の決定および制御
TW202309339A (zh) Pecvd沉積系統中對厚度趨勢之基於噴淋頭溫度的沉積時間補償
TW202145431A (zh) 具有均熱板的高溫基板支撐件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220713

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230731

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230815

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240215

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240423

R150 Certificate of patent or registration of utility model

Ref document number: 7479344

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150