JP2021090039A - 基板処理方法及びプラズマ処理装置 - Google Patents

基板処理方法及びプラズマ処理装置 Download PDF

Info

Publication number
JP2021090039A
JP2021090039A JP2020154668A JP2020154668A JP2021090039A JP 2021090039 A JP2021090039 A JP 2021090039A JP 2020154668 A JP2020154668 A JP 2020154668A JP 2020154668 A JP2020154668 A JP 2020154668A JP 2021090039 A JP2021090039 A JP 2021090039A
Authority
JP
Japan
Prior art keywords
gas
film
silicon
substrate
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020154668A
Other languages
English (en)
Other versions
JP2021090039A5 (ja
Inventor
隆太郎 須田
Ryutaro Suda
隆太郎 須田
幕樹 戸村
Maju Tomura
幕樹 戸村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US17/092,376 priority Critical patent/US11342194B2/en
Priority to US17/092,380 priority patent/US11361976B2/en
Priority to TW109140159A priority patent/TW202133261A/zh
Priority to SG10202011423RA priority patent/SG10202011423RA/en
Priority to KR1020200153776A priority patent/KR20210064066A/ko
Priority to CN202011285147.1A priority patent/CN112838002A/zh
Priority to US17/244,957 priority patent/US20210343539A1/en
Publication of JP2021090039A publication Critical patent/JP2021090039A/ja
Priority to US17/720,292 priority patent/US20220246443A1/en
Priority to US17/752,877 priority patent/US20220285169A1/en
Publication of JP2021090039A5 publication Critical patent/JP2021090039A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】プラズマエッチングにおいてマスクのエッチングに対するシリコンを含有する膜のエッチングの選択比を向上する技術を提供する。【解決手段】例示的実施形態に係る基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン含有膜及び該シリコン含有膜上に設けられたマスクを有する。基板処理方法は、チャンバ内において、フッ化水素ガスを含む第1の処理ガスからプラズマを生成する工程を更に含む。プラズマを生成する工程では、プラズマからの化学種により膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は25体積%以上である。【選択図】図1

Description

本開示の例示的実施形態は、基板処理方法及びプラズマ処理装置に関する。
特許文献1には、基板内の膜をエッチングする方法が開示されている。膜はシリコンを含有し、基板は膜上に設けられたマスクを更に有する。マスクは、アモルファスカーボン又は有機ポリマーを含む。当該方法におけるエッチングは、炭化水素ガス及びフルオロハイドロカーボンガスを含む処理ガスから生成されたプラズマが用いられる。
特開2016−39310号公報
本開示は、プラズマエッチングにおいてマスクのエッチングに対するシリコン含有膜のエッチングの選択比を向上する技術を提供する。
一つの例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン含有膜及び該シリコン含有膜上に設けられたマスクを有する。基板処理方法は、チャンバ内において、フッ化水素ガスを含む第1の処理ガスからプラズマを生成する工程を更に含む。プラズマを生成する工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は25体積%以上である。
本開示によれば、プラズマエッチングにおいてマスクのエッチングに対するシリコン含有膜のエッチングの選択比を向上する技術を提供できる。
第1の実施形態に係る基板処理方法の一例を示すフローチャートである。 一例のプラズマ処理装置を概略的に示す図である。 工程ST1において提供される一例の基板の部分拡大断面図である。 図1に示す基板処理方法を実行した後の一例の基板の部分拡大断面図である。 図1に示す基板処理方法の評価のために行った実験の結果を示すグラフである。 第2の実施形態に係る基板処理方法の一例を示すフローチャートである。 第3の実施形態に係る基板処理方法の一例を示すフローチャートである。 第3の実施形態に係る基板処理方法の別の例を示すフローチャートである。
以下、種々の例示的実施形態について説明する。
一つの例示的実施形態において、基板処理方法が提供される。基板処理方法は、プラズマ処理装置のチャンバ内に基板を提供する工程を含む。基板は、シリコン含有膜及び該シリコン含有膜上に設けられたマスクを有する。基板処理方法は、チャンバ内において、フッ化水素ガスを含む第1の処理ガスからプラズマを生成する工程を更に含む。プラズマを生成する工程では、プラズマからの化学種によりシリコン含有膜がエッチングされる。不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は25体積%以上である。この実施形態によれば、不活性ガスを除いた全流量に対して、フッ化水素ガスの流量が25体積%以上である第1の処理ガスから生成されるプラズマを用いることにより、マスクのエッチングに対するシリコンを含有する膜のエッチングの選択比が向上される。
一つの例示的実施形態において、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量は80体積%未満であってもよい。
一つの例示的実施形態において、第1の処理ガスは、炭素含有ガス、酸素含有ガス、及びハロゲン含有ガスからなる群から選択される少なくとも一種を含む。
一つの例示的実施形態において、炭素含有ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスから成る群から選択される少なくとも一種を含んでいてもよい。
一つの例示的実施形態において、シリコン含有膜は、シリコン酸化膜及びシリコン窒化膜を含む積層膜、ポリシリコン膜、低誘電率膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種であってもよい。
一つの例示的実施形態において、マスクは、炭素含有マスク又は金属含有マスクであってもよい。
一つの例示的実施形態において、炭素含有マスクは、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成されていてもよい。
一つの例示的実施形態において、基板処理方法は、チャンバ内において、第2の処理ガスからプラズマを生成する工程をさらに含む。第2の処理ガスからプラズマを生成する工程では、プラズマからの化学種によりチャンバ内がクリーニングされる。
一つの例示的実施形態において、前記第2の処理ガスは、フッ素含有ガス、酸素含有ガス、水素含有ガス、及び窒素含有ガスからなる群から選択される少なくとも一種を含んでいてもよい。
一つの例示的実施形態において、基板処理方法は、基板を提供する工程の前に、チャンバ内において、第3の処理ガスからプラズマを生成する工程をさらに含む。第3の処理ガスからプラズマを生成する工程では、チャンバの内壁にプリコート膜が形成される。
一つの例示的実施形態において、前記第3の処理ガスは、シリコン含有ガス及び酸素含有ガスを含んでいてもよい。
別の例示的実施形態において、プラズマ処理装置が提供される。プラズマ処理装置は、チャンバ、プラズマ生成部、及び制御部を備える。チャンバは、ガス供給口及びガス排出口を有する。制御部は、配置する工程とエッチングする工程とを含む処理を実行すうように構成されている。配置する工程では、チャンバ内に、シリコン含有膜及びシリコン含有膜上に設けられたマスクを有する基板を配置する。エッチングする工程では、チャンバ内において、フッ化水素ガスからプラズマを含む第1の処理ガスからプラズマを生成し、シリコン含有膜をエッチングする。制御部は、エッチングする工程において、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量が25体積%以上となるように制御するように構成されている。
以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
[第1の実施形態]
図1は、第1の実施形態に係る基板処理方法の一例を示すフローチャートである。図1に示す方法MT1は、シリコンを含有する膜をエッチングするために実行される。方法MT1は、例えば、3次元構造を有するNANDフラッシュメモリの製造に用いることができる。方法MT1は、プラズマ処理装置を用いて実行される。図2は、一例のプラズマ処理装置を概略的に示す図である。図1に示す方法MT1は、図2に示すプラズマ処理装置1を用いて実行され得る。
プラズマ処理装置1は、チャンバ10を備える。チャンバ10は、その中に内部空間10sを提供する。チャンバ10はチャンバ本体12を含む。チャンバ本体12は、略円筒形状を有する。チャンバ本体12は、例えばアルミニウムから形成される。チャンバ本体12の内壁面上には、耐腐食性を有する膜が設けられている。当該膜は、酸化アルミニウム、酸化イットリウムなどのセラミックであってよい。
チャンバ本体12の側壁には、通路12pが形成されている。基板Wは、通路12pを通して内部空間10sとチャンバ10の外部との間で搬送される。通路12pは、チャンバ本体12の側壁に沿って設けられるゲートバルブ12gにより開閉される。
チャンバ本体12の底部上には、支持部13が設けられている。支持部13は、絶縁材料から形成される。支持部13は、略円筒形状を有する。支持部13は、内部空間10sの中で、チャンバ本体12の底部から上方に延在している。支持部13は、上部に支持台14を有する。支持台14は、内部空間10sの中において、基板Wを支持するように構成されている。
支持台14は、下部電極18及び静電チャック20を有する。支持台14は、電極プレート16を更に有し得る。電極プレート16は、アルミニウムなどの導体から形成され、略円盤形状を有する。下部電極18は、電極プレート16上に設けられている。下部電極18は、アルミニウムなどの導体から形成されて、略円盤形状を有する。下部電極18は、電極プレート16に電気的に接続されている。
静電チャック20は、下部電極18上に設けられている。静電チャック20の上面に基板Wが載置される。静電チャック20は、本体及び電極を有する。静電チャック20の本体は、略円盤形状を有し、誘電体から形成される。静電チャック20の電極は、膜状の電極であり、静電チャック20の本体内に設けられている。静電チャック20の電極は、スイッチ20sを介して直流電源20pに接続されている。静電チャック20の電極に直流電源20pからの電圧が印加されると、静電チャック20と基板Wとの間に静電引力が発生する。その静電引力により、基板Wが静電チャック20に保持される。
下部電極18の周縁部上には、基板Wのエッジを囲むように、エッジリング25が配置される。エッジリング25は、基板Wに対するプラズマ処理の面内均一性を向上させる。エッジリング25は、シリコン、炭化シリコン、又は石英などから形成され得る。
下部電極18の内部には、流路18fが設けられている。流路18fには、チャンバ10の外部に設けられているチラーユニット(図示しない)から配管22aを介して熱交換媒体(例えば冷媒)が供給される。流路18fに供給された熱交換媒体は、配管22bを介してチラーユニットに戻される。プラズマ処理装置1では、静電チャック20上に載置された基板Wの温度が、熱交換媒体と下部電極18との熱交換により、調整される。
プラズマ処理装置1には、ガス供給ライン24が設けられている。ガス供給ライン24は、伝熱ガス供給機構からの伝熱ガス(例えばHeガス)を、静電チャック20の上面と基板Wの裏面との間に供給する。
プラズマ処理装置1は、上部電極30を更に備える。上部電極30は、支持台14の上方に設けられている。上部電極30は、部材32を介して、チャンバ本体12の上部に支持されている。部材32は、絶縁性を有する材料から形成される。上部電極30と部材32は、チャンバ本体12の上部開口を閉じている。
上部電極30は、天板34及び支持体36を含み得る。天板34の下面は、内部空間10sの側の下面であり、内部空間10sを画成する。天板34は、発生するジュール熱の少ない低抵抗の導電体又は半導体から形成され得る。天板34は、天板34をその板厚方向に貫通する複数のガス吐出孔34aを有する。
支持体36は、天板34を着脱自在に支持する。支持体36は、アルミニウムなどの導電性材料から形成される。支持体36の内部には、ガス拡散室36aが設けられている。支持体36は、ガス拡散室36aから下方に延びる複数のガス孔36bを有する。複数のガス孔36bは、複数のガス吐出孔34aにそれぞれ連通している。支持体36には、ガス供給口36cが形成されている。ガス供給口36cは、ガス拡散室36aに接続している。ガス供給口36cには、ガス供給管38が接続されている。
ガス供給管38には、バルブ群42、流量制御器群44、及びガスソース群40が接続されている。ガスソース群40、バルブ群42、及び流量制御器群44は、ガス供給部を構成している。ガスソース群40は、複数のガスソースを含む。バルブ群42は、複数の開閉バルブを含む。流量制御器群44は、複数の流量制御器を含む。流量制御器群44の複数の流量制御器の各々は、マスフローコントローラ又は圧力制御式の流量制御器である。ガスソース群40の複数のガスソースの各々は、バルブ群42の対応の開閉バルブ及び流量制御器群44の対応の流量制御器を介して、ガス供給管38に接続されている。
プラズマ処理装置1では、チャンバ本体12の内壁面及び支持部13の外周に沿って、シールド46が着脱自在に設けられている。シールド46は、チャンバ本体12に反応副生物が付着することを防止する。シールド46は、例えば、アルミニウムから形成された母材の表面に耐腐食性を有する膜を形成することにより構成される。耐腐食性を有する膜は、酸化イットリウムなどのセラミックから形成され得る。
支持部13とチャンバ本体12の側壁との間には、バッフルプレート48が設けられている。バッフルプレート48は、例えば、アルミニウムから形成された母材の表面に耐腐食性を有する膜(酸化イットリウムなどの膜)を形成することにより構成される。バッフルプレート48には、複数の貫通孔が形成されている。バッフルプレート48の下方、且つ、チャンバ本体12の底部には、ガス排出口12eが設けられている。ガス排出口12eには、排気管52を介して排気装置50が接続されている。排気装置50は、圧力調整弁及びターボ分子ポンプなどの真空ポンプを含む。
プラズマ処理装置1は、第1の高周波電源62及び第2の高周波電源64を備えている。第1の高周波電源62は、第1の高周波電力を発生する電源である。第1の高周波電力は、プラズマの生成に適した周波数を有する。第1の高周波電力の周波数は、例えば27MHz〜100MHzの範囲内の周波数である。第1の高周波電源62は、整合器66及び電極プレート16を介して下部電極18に接続されている。整合器66は、第1の高周波電源62の出力インピーダンスと負荷側(下部電極18側)のインピーダンスを整合させるための回路を有する。なお、第1の高周波電源62は、整合器66を介して、上部電極30に接続されていてもよい。第1の高周波電源62は、一例のプラズマ生成部を構成している。
第2の高周波電源64は、第2の高周波電力を発生する電源である。第2の高周波電力は、第1の高周波電力の周波数よりも低い周波数を有する。第1の高周波電力と共に第2の高周波電力が用いられる場合には、第2の高周波電力は基板Wにイオンを引き込むためのバイアス用の高周波電力として用いられる。第2の高周波電力の周波数は、例えば400kHz〜13.56MHzの範囲内の周波数である。第2の高周波電源64は、整合器68及び電極プレート16を介して下部電極18に接続されている。整合器68は、第2の高周波電源64の出力インピーダンスと負荷側(下部電極18側)のインピーダンスを整合させるための回路を有する。
なお、第1の高周波電力を用いずに、第2の高周波電力を用いて、即ち、単一の高周波電力のみを用いてプラズマを生成してもよい。この場合には、第2の高周波電力の周波数は、13.56MHzよりも大きな周波数、例えば40MHzであってもよい。プラズマ処理装置1は、第1の高周波電源62及び整合器66を備えなくてもよい。第2の高周波電源64は一例のプラズマ生成部を構成する。
プラズマ処理装置1においてガスが、ガス供給部から内部空間10sに供給されて、プラズマを生成する。また、第1の高周波電力及び/又は第2の高周波電力が供給されることにより、上部電極30と下部電極18との間で高周波電界が生成される。生成された高周波電界がプラズマを生成する。
プラズマ処理装置1は、制御部80を更に備え得る。制御部80は、プロセッサ、メモリなどの記憶部、入力装置、表示装置、信号の入出力インターフェイス等を備えるコンピュータであり得る。制御部80は、プラズマ処理装置1の各部を制御する。制御部80では、入力装置を用いて、オペレータがプラズマ処理装置1を管理するためにコマンドの入力操作等を行うことができる。また、制御部80では、表示装置により、プラズマ処理装置1の稼働状況を可視化して表示することができる。さらに、記憶部には、制御プログラム及びレシピデータが格納されている。制御プログラムは、プラズマ処理装置1で各種処理を実行するために、プロセッサによって実行される。プロセッサが、制御プログラムを実行し、レシピデータに従ってプラズマ処理装置1の各部を制御する。
再び図1を参照する。以下では、その実行においてプラズマ処理装置1が用いられる場合を例にとって、方法MT1について説明する。図1に示すように、方法MT1は、工程ST1を含む。工程ST1では、プラズマ処理装置のチャンバ10内に基板Wが提供される。基板Wは、静電チャック20上に載置され、静電チャック20によって保持される。
図3は、方法MT1の工程ST1において提供される一例の基板の部分拡大断面図である。図3に示す基板Wは、下地層UL、膜SF、及びマスクMSKを有する。下地層ULは、多結晶シリコン製の層であり得る。膜SFは、下地層UL上に設けられている。膜SFは、シリコンを含有する。膜SFは、一つ以上のシリコン酸化膜及び一つ以上のシリコン窒化膜を含む積層膜であり得る。図3に示す例では、膜SFは、複数のシリコン酸化膜IL1及び複数のシリコン窒化膜IL2を含む多層膜である。複数のシリコン酸化膜IL1及び複数のシリコン窒化膜IL2は、交互に積層されている。なお、膜SFは、シリコンを含む他の単層膜又はシリコンを含む他の多層膜であってもよい。膜SFが単層膜の場合、膜SFは、例えば、SiOC、SiOF、若しくはSiCOH等から形成される低誘電率膜、又は、ポリシリコン膜であり得る。或いは、膜SFが多層膜の場合、膜SFは、例えば、一つ以上のシリコン酸化膜及び一つ以上のポリシリコン膜を含む積層膜であり得る。
マスクMSKは、膜SF上に設けられている。マスクMSKは、膜SFにホールといったスペースを形成するためのパターンを有している。マスクMSKは、例えば、ハードマスクであり得る。マスクMSKは、例えば、炭素含有マスク及び/又は金属含有マスクであり得る。炭素含有マスクは、例えば、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成される。金属含有マスクは、窒化チタン、酸化チタン、及びタングステンからなる群から選択される少なくとも一種から形成される。或いは、マスクMSKは、例えば、ホウ化ケイ素、窒化ホウ素、又は炭化ホウ素等から形成されるホウ素含有マスクであってもよい。
図1に示すように、方法MT1は、工程ST2を更に含む。工程ST2は、工程ST1の後に実行される。工程ST2では、チャンバ10内において第1の処理ガスからプラズマが生成される。工程ST2では、このプラズマからの化学種により、膜SFがエッチングされる。
工程ST2において用いられる第1の処理ガスは、フッ化水素ガスを含む。工程ST2におけるフッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、25体積%以上、30体積%以上又は34体積%以上としてよい。なお、フッ化水素ガスは腐食性が高いため、チャンバ10の内壁の腐食を抑制する観点から、フッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、80体積%未満、78体積%以下又は75体積%以下としてよい。一例では、フッ化水素ガスの流量は、不活性ガスを除いた第1の処理ガスの全流量に対して、25体積%以上80体積%未満に調整される。不活性ガスを除いた第1の処理ガス中のフッ化水素ガスの流量をこのような範囲に制御することにより、マスクMKのエッチング速度に対する膜SFのエッチング速度を向上させることができる。この結果、マスクのエッチングに対するシリコン含有膜のエッチングの選択比を改善することができる。一方、不活性ガスを除いた第1の処理ガス中のフッ化水素ガスの流量が25体積%未満では、選択比を十分に改善できない場合がある。なお、不活性ガスを除いた第1の処理ガスの全流量は、チャンバ容積に応じて適宜調整すればよく、一例では、100sccm以上としてよい。
第1の処理ガスは、フッ化水素ガスのほかに、炭素含有ガス、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも一種を含んでもよい。
第1の処理ガスが炭素含有ガスを含む場合、マスク表面に炭素を含む堆積物が形成されるため、マスクのエッチングに対するシリコン含有膜のエッチング選択比をさらに改善することができる。炭素含有ガスは、例えば、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスからなる群から選択される少なくとも一種を含む。フルオロカーボンガスとしては、例えば、CF、C、C、C、C、C又はCを使用することができる。ハイドロフルオロカーボンガスとしては、例えば、CHF、CH、CHF、CHF、C、C、C、CHF、C、C、C、C、C10、c−C又はCを使用することができる。ハイドロカーボンガスとしては、例えば、CH、C、C、C又はC10を使用することができる。炭素含有ガスは、上記のほかにCO及び/又はCOを含んでもよい。一例では、炭素含有ガスとして、炭素数が2以上のハイドロフルオロカーボンガスを使用することができる。炭素数が2以上のハイドロフルオロカーボンガスを用いた場合、ボーイングなどの形状異常を効果的に抑制できる。
第1の処理ガスが酸素含有ガスを含む場合、エッチング時におけるマスクの閉塞を抑制することができる。酸素含有ガスとしては、例えば、O、CO、CO、HO又はHからなる群から選択される少なくとも一種を使用することができる。
第1の処理ガスがハロゲン含有ガスを含む場合、エッチング形状を制御することができる。ハロゲン含有ガスとしては、例えば、フッ素含有ガス、塩素含有ガス、ホウ素含有ガス、及びヨウ素含有ガスからなる群から選択される少なくとも一種を使用することができる。このフッ素含有ガスは、例えば、SF、NF、XeF、SiF、IF、ClF、BrF、AsF、NF、PF、PF、POF、BF、HPF、WF等のガスである。この塩素含有ガスは、例えば、SiCl、SiCl、CCl、BCl、PCl、PCl、POCl等のガスである。この臭素含有ガスは、例えば、CBr、CBr、PBr、PBr、POBr等のガスである。このヨウ素含有ガスは、例えば、HI、CFI、CI、CI、IF、IF、I、PI等のガスである。
上記のほか、第1の処理ガスは、側壁保護効果のあるガス、例えば、COS等の硫黄含有ガス、P10、P、P、PH、Ca、HPO、NaPO等のリン含有ガス、B等のホウ素含有ガスを含んでもよい。
これらのガス種のほか、第1の処理ガスは、不活性ガスを含んでもよい。不活性ガスとしては、窒素含有ガスのほか、Ar、Kr及びXe等の希ガスを使用することができる。ただし、第1の処理ガスは、これらの不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量が上述した割合となるように制御する。
工程ST2の実行のために、制御部80は、上述の処理ガスをチャンバ10内に供給するようにガス供給部を制御する。工程ST2の実行のために、制御部80は、チャンバ10内に供給される処理ガスにおけるフッ化水素ガスの流量が当該処理ガスの全流量の25体積%以上となるようにガス供給部を制御する。工程ST2の実行のために、制御部80は、チャンバ10内の圧力を指定された圧力となるように排気装置50を制御する。工程ST2の実行のために、制御部80は、チャンバ10内において処理ガスからプラズマを生成するために第1の高周波電力及び/又は第2の高周波電力を供給するように第1の高周波電源62及び/又は第2の高周波電源64を制御する。
工程ST2において、第2の高周波電源64は、プラズマからイオンを基板Wに引き込むために、5W/cm以上の第2の高周波電力(即ち、バイアス用の高周波電力)を下部電極18に供給してもよい。5W/cm以上の第2の高周波電力によって、プラズマからのイオンが、エッチングによって形成される膜SFのスペース(例えば図4に示すスペースSP)の底部に、十分に到達し得る。なお、バイアス用の高周波電力に代えて、負の直流電圧を下部電極18に印加してもよい。さらに、バイアス用の高周波電力又は負の直流電圧を下部電極18にパルス状に印加してもよい。この場合、パルス周波数は、5Hz〜100kHzしてもよい。
工程ST2における静電チャックの温度は特に制限されない。ただし、工程ST2の開始前に、静電チャックの温度を低温、例えば0℃以下又は−50℃以下に調整することで、基板表面におけるエッチャントの吸着が促進されるため、エッチングレートを向上することができる。
工程ST2の実行が終了すると、方法MT1は終了する。図4は、図1に示す基板処理方法を実行した後の一例の基板の部分拡大断面図である。方法MT1の実行により、図4に示すように、膜SFに、例えば下地層ULまで達するスペースSPが形成される。
以下、方法MT1の評価のために行った実験の結果について説明する。実験では、図3に示す基板Wと同じ8つのサンプル基板を準備した。実験では、プラズマ処理装置1を用いて、8つのサンプル基板の膜SFのプラズマエッチングを行った。プラズマエッチングでは、炭素含有ガスを含む第1の処理ガスを用いた。8つのサンプル基板のうち第1のサンプル基板のプラズマエッチングに用いた第1の処理ガスは、フッ化水素ガスを含んでいなかった。第2〜第8のサンプル基板のプラズマエッチングでは、第1の処理ガスの全流量に対するフッ化水素ガスの流量比は、それぞれ34.2体積%、51.0体積%、80.0体積%、95.2体積%、98.8体積%、99.5体積%及び100体積%であった。なお、実験では、プラズマエッチングの開始前に、サンプル基板を載置する静電チャックの温度を−50℃以下の温度に調整した。
実験では、8つのサンプル基板の膜SFのプラズマエッチングの結果から、マスクMSKのエッチングに対する膜SFのエッチングの選択比を求めた。具体的に、8つのサンプル基板の膜SFのプラズマエッチングの結果から、膜SFのエッチングレートをマスクMKのエッチングレートで除すことにより選択比を求めた。
実験の結果を、図5に示す。図5は、図1に示す基板処理方法の評価のために行った実験の結果を示すグラフである。図5のグラフにおいて、横軸は、流量比を示している。流量比は、不活性ガスを除いた第1の処理ガスの全流量に占めるフッ化水素ガスの流量の割合(体積%)である。図5のグラフにおいて、縦軸は、選択比を示している。図5において、参照符号P1〜P8は、第1〜第8のサンプル基板の膜SFのプラズマエッチングの結果から求めた選択比を示している。
図5に示すように、実験の結果、選択比は、不活性ガスを除いた第1の処理ガスの全流量に対するフッ化水素ガスの流量の比率(以下、「流量比」という。)の増加に伴って増加することが確認された。また、図5から、フッ化水素ガスの流量が不活性ガスを除いた第1の処理ガスの全流量において25体積%以上を占める場合には、4以上の選択比が得られることがわかる。
[第2の実施形態]
第1の実施形態に係る基板処理方法において、処理回数が増加するにつれて、チャンバ10の内壁や支持台14等に付着する反応生成物の付着量が増加する。反応生成物の付着量が増加すると処理環境が変わるため、基板W間での処理の均一性が悪化することがある。また、反応生成物の付着量の増加は、パーティクルの発生要因になる。そこで、クリーニングガスをプラズマ化させたプラズマによりチャンバ内をクリーニングすることが行われる。
図6は、第2の実施形態に係る基板処理方法の一例を示すフローチャートである。図6に示す方法MT2は、方法MT1と同様に、シリコン含有膜をエッチングするために実行される。工程ST21及び工程ST22は、上述した方法MT1の工程ST11及び工程ST12と同様であるため、ここでの説明は省略する。
図6に示すように、方法MT2は、工程ST23を更に含む。工程ST23は、工程ST22の後に実行される。工程ST23では、チャンバ10内において第2の処理ガスからプラズマが生成される。工程ST23では、このプラズマからの化学種により、チャンバ10内がクリーニングされる。工程23の処理時間は、通常、プラズマの発光状態をモニタすることにより決定される。第2実施形態によれば、従来技術と比較して、クリーニング時間を50%以下に短縮することができ、基板処理のスループットを改善することができる。
工程ST23で使用する第2の処理ガスは、例えば、フッ素含有ガス、酸素含有ガス、水素含有ガス、及び窒素含有ガスからなる群から選択される少なくとも一種を含んでもよい。フッ素含有ガスとしては、例えば、CF、SF又はNFを使用することができる。酸素含有ガスとしては、例えば、O、CO、CO、HO又はHを使用することができる。水素含有ガスとしては、例えば、H又はHClを使用することができる。窒素含有ガスとしては、例えば、Nを使用することができる。上記のほか、第2の処理ガスには、Ar等の希ガスなどが含まれてもよい。
工程ST23は、基板Wを1枚処理する毎に実行してもよいが、所定枚数又は所定ロット数の基板Wを処理した後に実行してもよい。あるいは、所定時間の基板処理後に実行してもよい。
[第3の実施形態]
第1の実施形態及び第2の実施形態では、いずれも第1の処理ガスにはフッ化水素ガスが含まれる。フッ化水素ガスは腐食性の高いガスであるため、エッチング工程の前に、チャンバ10の内壁にプリコート膜を形成することが好ましい。特に、フッ化水素ガスを高濃度で使用する場合には、チャンバ10の内壁にプリコート膜を形成し、チャンバ10の内壁の腐食を抑制することで、メンテナンス頻度を低減することができる。ここで、チャンバ10の内壁には、チャンバ10の側壁及び天井(上部電極30の天板34)のほか、支持台14等が含まれる。
プリコート膜は、シリコン酸化膜などのシリコン含有膜のほか、マスクMSKの材料と同種の材料から形成されてもよい。マスクMSKが炭素含有マスクである場合、プリコート膜は炭素含有物質から形成されてもよい。炭素含有物質は、例えば、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種を含む。マスクMSKが金属含有マスクである場合、プリコート膜は金属含有物質から形成されてもよい。金属含有物質は、例えば、窒化チタン、酸化チタン、及びタングステンからなる群から選択される少なくとも一種を含む。また、マスクMSKがホウ素含有マスクである場合、プリコート膜はホウ素含有物質から形成される。ホウ素含有物質は、例えば、ホウ化ケイ素、窒化ホウ素、及び炭化ホウ素の群から選択される少なくとも一種を含む。保護膜PFは、マスクMKの材料と同種の材料から形成される。マスクMKが有機膜から形成されている場合には、保護膜PFは炭素含有物質から形成される。マスクMKが、リコン含有膜から形成されている場合には、保護膜PFはシリコン含有物質(例えば、多結晶シリコン又は炭化ケイ素)から形成される。マスクMKが金属含有膜から形成されている場合には、保護膜PFは金属含有物質から形成される。金属含有物質は、チタン、窒化チタン、炭化チタン、酸化チタン、タングステン、炭化タングステン、ルテニウム、酸化ルテニウム、モリブデン、炭化モリブデン等のうち何れかを含む。
図7は、第3の実施形態に係る基板処理方法の一例を示すフローチャートである。図7に示す方法MT3は、方法MT1と同様に、シリコン含有膜をエッチングするために実行される。工程ST31及び工程ST32は、上述した方法MT1の工程ST11及び工程ST12と同様であるため、ここでの説明は省略する。
図7に示すように、方法MT2は、工程ST303を更に含む。工程ST30は、工程ST31の前に実行される。工程ST30では、チャンバ10内において第3の処理ガスからプラズマが生成される。工程ST30では、このプラズマからの化学種により、チャンバ10の内壁にプリコート膜を形成する。
プリコート膜は、第3の処理ガスを用いてChemical Vapor Deposition(CVD)やAtomic Layer Deposition(ALD)により形成することができる。例えば、プリコート膜としてシリコン酸化膜を成膜する場合、第3の処理ガスとしてSiClやアミノシラン系ガス等のシリコン含有ガスと、Oなどの酸素含有ガス等を用いることができる。
工程ST33は、基板Wを1枚処理する毎に実行してもよいが、所定枚数又は所定ロット数の基板Wを処理した後に実行してもよい。あるいは、所定時間の基板処理後に実行してもよい。
なお、プリコート膜を形成する工程は、図8の第3の実施形態に係る基板処理方法の別の例に示すようにクリーニング工程と組み合わせて実行してもよい。これにより、パーティクルの発生と、チャンバ10の内壁の腐食とを同時に抑制することができる。
以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な省略、置換、及び変更がなされてもよい。また、異なる例示的実施形態における要素を組み合わせて他の例示的実施形態を形成することが可能である。
例えば、方法MT1〜MT4において用いられるプラズマ処理装置は、プラズマ処理装置1とは別のプラズマ処理装置であってもよい。方法MT1〜MT4において用いられるプラズマ処理装置は、別の容量結合型のプラズマ処理装置、誘導結合型のプラズマ処理装置、又はマイクロ波といった表面波を用いてプラズマを生成するプラズマ処理装置であってもよい。
また、上述したようにフッ化水素ガスは腐食性の高いガスであるため、処理段階に応じて、フッ化水素ガスの流量比や、第1の処理ガスに添加するガスの種類を変更してもよい。一例では、マスクの厚さを維持する必要がないエッチング終期におけるフッ化水素ガスの流量比を、マスクの厚さを維持する必要があるエッチング初期から中期におけるフッ化水素ガスの流量比よりも低くしてもよい。他の例では、ボーイングなどの形状異常が発生しやすい低アスペクト比領域のエッチングでは、高アスペクト比領域のエッチングと比べて、側壁保護効果を有するガスの流量比を多くしてもよい。また、エッチング後の形状を、光学的観察装置などでモニタし、その形状に応じて、フッ化水素ガスの流量比、第1の処理ガスに添加するガスの種類又は流量比を変更してもよい。
以上の説明から、本開示の種々の例示的実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の例示的実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
1…プラズマ処理装置、10…チャンバ、W…基板、SF…膜、MSK…マスク。

Claims (13)

  1. チャンバ内に、シリコン含有膜及び該シリコン含有膜上にマスクを有する基板を提供する工程と、
    前記チャンバ内において、フッ化水素ガスを含む第1の処理ガスからプラズマを生成し、前記シリコン含有膜をエッチングする工程と、
    を含み、
    不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量は25体積%以上である、
    基板処理方法。
  2. 前記不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量は80体積%未満である、請求項1に記載の基板処理方法。
  3. 前記第1の処理ガスは、炭素含有ガス、酸素含有ガス及びハロゲン含有ガスからなる群から選択される少なくとも一種を含む、請求項1に記載の基板処理方法。
  4. 前記炭素含有ガスは、フルオロカーボンガス、ハイドロフルオロカーボンガス、及びハイドロカーボンガスからなる群から選択される少なくとも一種を含む、請求項3に記載の基板処理方法。
  5. 前記シリコン含有膜は、シリコン酸化膜及びシリコン窒化膜を含む積層膜、ポリシリコン膜、低誘電率膜、並びにシリコン酸化膜及びポリシリコン膜を含む積層膜からなる群から選択される少なくとも一種である、請求項1に記載の基板処理方法。
  6. 前記マスクは、炭素含有マスク又は金属含有マスクである、請求項1に記載の基板処理方法。
  7. 前記炭素含有マスクは、スピンオンカーボン、炭化タングステン、アモルファスカーボン、及び炭化ホウ素からなる群から選択される少なくとも一種から形成される、請求項6に記載の基板処理方法。
  8. 前記エッチングする工程の前に、前記基板が載置される静電チャックの温度を0℃以下に調整する工程をさらに備える、請求項1に記載の基板処理方法。
  9. 前記チャンバ内において、第2の処理ガスからプラズマを生成し、前記チャンバ内をクリーニングする工程をさらに含む、請求項1に記載の基板処理方法。
  10. 前記第2の処理ガスは、フッ素含有ガス、酸素含有ガス、水素含有ガス及び窒素含有ガスからなる群から選択される少なくとも一種を含む、請求項9に記載の基板処理方法。
  11. 前記基板を提供する工程の前に、前記チャンバ内において、第3の処理ガスからプラズマを生成し、前記チャンバの内壁にプリコート膜を形成する工程をさらに含む、請求項1に記載の基板処理方法。
  12. 前記第3の処理ガスは、シリコン含有ガス及び酸素含有ガスを含む、請求項11に記載の基板処理方法。
  13. ガス供給口及びガス排出口を有するチャンバと、
    プラズマ生成部と、
    制御部と、
    を含むプラズマ処理装置であって、
    前記制御部は、
    前記チャンバ内に、シリコン含有膜及び該シリコン含有膜上に設けられたマスクを有する基板を配置する工程と、
    前記チャンバ内において、フッ化水素ガスを含む第1の処理ガスからプラズマを生成し、前記シリコン含有膜をエッチングする工程と、
    を含む処理を実行し、
    前記エッチングする工程において、不活性ガスを除いた前記第1の処理ガスの全流量に対する前記フッ化水素ガスの流量が25体積%以上となるように制御する、
    プラズマ処理装置。

JP2020154668A 2019-11-25 2020-09-15 基板処理方法及びプラズマ処理装置 Pending JP2021090039A (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US17/092,376 US11342194B2 (en) 2019-11-25 2020-11-09 Substrate processing method and substrate processing apparatus
US17/092,380 US11361976B2 (en) 2019-11-25 2020-11-09 Substrate processing method and plasma processing apparatus
CN202011285147.1A CN112838002A (zh) 2019-11-25 2020-11-17 基板处理方法及等离子体处理装置
SG10202011423RA SG10202011423RA (en) 2019-11-25 2020-11-17 Substrate processing method and plasma processing apparatus
KR1020200153776A KR20210064066A (ko) 2019-11-25 2020-11-17 기판 처리 방법 및 플라즈마 처리 장치
TW109140159A TW202133261A (zh) 2019-11-25 2020-11-17 基板處理方法及電漿處理裝置
US17/244,957 US20210343539A1 (en) 2020-04-30 2021-04-30 Substrate processing method and plasma processing apparatus
US17/720,292 US20220246443A1 (en) 2019-11-25 2022-04-14 Substrate processing method and substrate processing apparatus
US17/752,877 US20220285169A1 (en) 2019-11-25 2022-05-25 Substrate processing method and plasma processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019212425 2019-11-25
JP2019212425 2019-11-25

Publications (2)

Publication Number Publication Date
JP2021090039A true JP2021090039A (ja) 2021-06-10
JP2021090039A5 JP2021090039A5 (ja) 2023-07-20

Family

ID=76220397

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020154668A Pending JP2021090039A (ja) 2019-11-25 2020-09-15 基板処理方法及びプラズマ処理装置

Country Status (4)

Country Link
JP (1) JP2021090039A (ja)
KR (1) KR20210064066A (ja)
SG (1) SG10202011423RA (ja)
TW (1) TW202133261A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7099675B1 (ja) 2021-07-27 2022-07-12 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
WO2023008025A1 (ja) * 2021-07-27 2023-02-02 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
WO2024043166A1 (ja) * 2022-08-22 2024-02-29 東京エレクトロン株式会社 プラズマ処理装置及び基板処理システム

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6423643B2 (ja) 2014-08-08 2018-11-14 東京エレクトロン株式会社 多層膜をエッチングする方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7099675B1 (ja) 2021-07-27 2022-07-12 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
WO2023008025A1 (ja) * 2021-07-27 2023-02-02 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、エッチングプログラムおよびプラズマ処理装置
JP2023018631A (ja) * 2021-07-27 2023-02-08 東京エレクトロン株式会社 エッチング方法、半導体装置の製造方法、プログラムおよびプラズマ処理装置
WO2024043166A1 (ja) * 2022-08-22 2024-02-29 東京エレクトロン株式会社 プラズマ処理装置及び基板処理システム

Also Published As

Publication number Publication date
TW202133261A (zh) 2021-09-01
KR20210064066A (ko) 2021-06-02
SG10202011423RA (en) 2021-06-29

Similar Documents

Publication Publication Date Title
JP6883495B2 (ja) エッチング方法
KR102426264B1 (ko) 에칭 방법
JP6956288B2 (ja) 基板処理方法、プラズマ処理装置、及びエッチングガス組成物
CN106057666B (zh) 蚀刻方法
JP2021090039A (ja) 基板処理方法及びプラズマ処理装置
TWI697046B (zh) 蝕刻方法
JP6339961B2 (ja) エッチング方法
JP5982223B2 (ja) プラズマ処理方法、及びプラズマ処理装置
KR20220150845A (ko) 기판 처리 방법 및 플라즈마 처리 장치
JP6494424B2 (ja) エッチング方法
KR102152088B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP6811202B2 (ja) エッチングする方法及びプラズマ処理装置
US20220246443A1 (en) Substrate processing method and substrate processing apparatus
US20230170189A1 (en) Etching method and plasma processing apparatus
JP2019117876A (ja) エッチング方法
JP6948181B2 (ja) 多層膜をエッチングする方法
CN112838002A (zh) 基板处理方法及等离子体处理装置
JP2022077710A (ja) エッチング方法
KR20210055015A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
JP2021153170A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230711

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230711

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240515