JP2020509942A5 - - Google Patents

Download PDF

Info

Publication number
JP2020509942A5
JP2020509942A5 JP2019543246A JP2019543246A JP2020509942A5 JP 2020509942 A5 JP2020509942 A5 JP 2020509942A5 JP 2019543246 A JP2019543246 A JP 2019543246A JP 2019543246 A JP2019543246 A JP 2019543246A JP 2020509942 A5 JP2020509942 A5 JP 2020509942A5
Authority
JP
Japan
Prior art keywords
conductive
interface
feature
conductive feature
structure according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019543246A
Other languages
Japanese (ja)
Other versions
JP2020509942A (en
JP7030825B2 (en
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2017/067742 external-priority patent/WO2018147940A1/en
Publication of JP2020509942A publication Critical patent/JP2020509942A/en
Publication of JP2020509942A5 publication Critical patent/JP2020509942A5/ja
Application granted granted Critical
Publication of JP7030825B2 publication Critical patent/JP7030825B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (28)

接合構造物であって、
第1の導電性特徴部及び第1の非導電性特徴部を含む第1の界面特徴部を有する第1の素子と、
第2の導電性特徴部及び第2の非導電性特徴部を含む第2の界面特徴部を有する第2の素子であって、前記第1の界面特徴部の前記第1の導電性特徴部が介在する接着剤を用いずに前記第2の界面特徴部の前記第2の導電性特徴部に直接接合されると共に、前記第1の界面特徴部の前記第1の非導電性特徴部が介在する接着剤を用いずに前記第2の界面特徴部の前記第2の非導電性特徴部に直接接合されて、界面構造体を画定する、前記第2の素子と、
前記第2の素子の中又は上に配設された導電トレースと、
前記第1の素子の上面にあり、前記導電トレースと電気通信するボンドパッドと、
前記第1の素子又は前記第2の素子に結合された、若しくは前記第1の素子又は前記第2の素子と共に形成された集積デバイスと、
を備え、
前記第1の導電性特徴部と前記第2の導電性特徴部との間の接合界面が前記接合構造物の内部領域を実質的に包囲する、接合構造物。
It is a joint structure
A first element having a first interface feature including a first conductive feature and a first non-conductive feature,
A second element having a second interface feature including a second conductive feature and a second non-conductive feature, the first conductive feature of the first interface feature. Is directly bonded to the second conductive feature portion of the second interface feature portion without using an adhesive intervening in the first interface feature portion, and the first non-conductive feature portion of the first interface feature portion is formed. The second element, which is directly bonded to the second non-conductive feature portion of the second interface feature portion without using an intervening adhesive to define the interface structure, and the second element.
Conductive traces arranged in or on the second element,
A bond pad located on the upper surface of the first element and communicating with the conductive trace,
With an integrated device coupled to or formed with the first element or the second element, or with the first element or the second element.
With
A bonded structure in which a bonding interface between the first conductive feature and the second conductive feature substantially surrounds an internal region of the bonded structure.
前記第1の素子と前記第2の素子との間に空洞を更に備える、請求項1に記載の接合構造物。 The bonded structure according to claim 1, further comprising a cavity between the first element and the second element. 前記接合構造物内に空洞が配設されない、請求項1に記載の接合構造物。 The joint structure according to claim 1, wherein no cavity is arranged in the joint structure. 前記第1の導電性特徴部と前記第2の導電性特徴部との間の接合界面は、事実上の閉鎖プロファイルを画定し、前記第1及び第2の素子を接続し、前記事実上の閉鎖プロファイルは、外部環境から前記接合構造物の前記内部領域へと拡散する気体から、前記内部領域を実質的に封止する、請求項1に記載の接合構造物。 The junction interface between the first conductive feature and the second conductive feature defines a de facto closure profile, connects the first and second elements, and de facto. The bonded structure according to claim 1, wherein the closed profile substantially seals the internal region from a gas diffusing from the external environment into the internal region of the bonded structure. 前記導電トレースから前記界面構造体を通って延び、前記ボンドパッドに接続する電気的相互接続部を更に備える、請求項1に記載の接合構造物。 The bonded structure according to claim 1, further comprising an electrical interconnect that extends from the conductive trace through the interface structure and connects to the bond pad. 前記第1及び第2の非導電性特徴部の一方又は両方は酸化ケイ素を含む、請求項1に記載の接合構造物。 The bonded structure according to claim 1, wherein one or both of the first and second non-conductive feature portions contain silicon oxide. 前記第1の素子の上面に配設された複数のボンドパッドを更に備える、請求項1に記載の接合構造物。 The bonded structure according to claim 1, further comprising a plurality of bond pads arranged on the upper surface of the first element. 前記集積デバイスは前記導電トレースに電気的に接続される、請求項1に記載の接合構造物。 The bonded structure according to claim 1, wherein the integrated device is electrically connected to the conductive trace. 前記ボンドパッドは前記接合構造物の最上面に対して凹んでいる、請求項1に記載の接合構造物。 The joint structure according to claim 1, wherein the bond pad is recessed with respect to the uppermost surface of the joint structure. 前記第2の素子の側域が前記第1の素子の側域よりも大きい、請求項1に記載の接合構造物。 The bonded structure according to claim 1, wherein the side region of the second element is larger than the side region of the first element. 前記第1の導電性特徴部は複数の導電セグメントを含む、請求項1に記載の接合構造物。 The bonded structure according to claim 1, wherein the first conductive feature portion includes a plurality of conductive segments. 前記複数の導電セグメントは一連の導電性ドットを含む、請求項1に記載の接合構造物。 The bonded structure according to claim 1, wherein the plurality of conductive segments include a series of conductive dots. 前記集積デバイスは前記空洞内に配設される、請求項2に記載の接合構造物。 The bonded structure according to claim 2, wherein the integrated device is arranged in the cavity. 前記第1の導電性特徴部と前記第2の導電性特徴部との間の前記接合界面は、不完全な環状パターンを含む、請求項4に記載の接合構造物。 The bonded structure according to claim 4, wherein the bonding interface between the first conductive feature and the second conductive feature includes an incomplete annular pattern. 前記電気的相互接続部は前記第1の素子を通って延びる、請求項5に記載の接合構造物。 The junction structure according to claim 5, wherein the electrical interconnect extends through the first element. 前記電気的相互接続部は前記第2の素子の少なくとも一部を通って延びる、請求項5に記載の接合構造物。 The junction structure according to claim 5, wherein the electrical interconnect extends through at least a portion of the second element. 前記第1の界面特徴部は、前記第1の素子の上面に対向する前記第1の要素の下面に配設される、請求項15に記載の接合構造物。 The joint structure according to claim 15, wherein the first interface feature is arranged on the lower surface of the first element facing the upper surface of the first element. 接合構造物であって、
第1の導電性特徴部及び第1の非導電性特徴部を含む第1の界面特徴部を有する第1の素子と、
第2の導電性特徴部及び第2の非導電性特徴部を含む第2の界面特徴部を有する第2の素子であって、前記第1の界面特徴部の前記第1の導電性特徴部が介在する接着剤を用いずに前記第2の界面特徴部の前記第2の導電性特徴部に直接接合されると共に、前記第1の界面特徴部の前記第1の非導電性特徴部が介在する接着剤を用いずに前記第2の界面特徴部の前記第2の非導電性特徴部に直接接合されて、界面構造体を画定する、前記第2の素子と、
前記第1の素子の上面にあるボンドパッドと、
前記第1の素子又は前記第2の素子に結合された、若しくは前記第1の素子又は前記第2の素子と共に形成された集積デバイスと、
前記ボンドパッドから前記第1の素子を通って延び、前記集積デバイスに電気的に接続する電気的相互接続部と、
を備え、
前記第1の導電性特徴部と前記第2の導電性特徴部との間の接合界面が前記接合構造物の内部領域を実質的に包囲する、接合構造物。
It is a joint structure
A first element having a first interface feature including a first conductive feature and a first non-conductive feature,
A second element having a second interface feature including a second conductive feature and a second non-conductive feature, the first conductive feature of the first interface feature. Is directly bonded to the second conductive feature portion of the second interface feature portion without using an adhesive intervening in the first interface feature portion, and the first non-conductive feature portion of the first interface feature portion is formed. The second element, which is directly bonded to the second non-conductive feature portion of the second interface feature portion without using an intervening adhesive to define the interface structure, and the second element.
The bond pad on the upper surface of the first element and
With an integrated device coupled to or formed with the first element or the second element, or with the first element or the second element.
An electrical interconnect that extends from the bond pad through the first element and electrically connects to the integrated device.
With
A bonded structure in which a bonding interface between the first conductive feature and the second conductive feature substantially surrounds an internal region of the bonded structure.
前記電気的相互接続部は前記界面構造体を通って延びる、請求項18に記載の接合構造物。 The junction structure according to claim 18, wherein the electrical interconnect extends through the interface structure. 前記第2の素子の中又は上に導電トレースを更に備え、前記導電トレースは、前記集積デバイスと前記電気的相互接続部との間に電気通信を提供する、請求項18に記載の接合構造物。 18. The junction structure of claim 18, further comprising a conductive trace in or on the second element, wherein the conductive trace provides telecommunications between the integrated device and the electrical interconnect. .. 前記第1の素子と前記第2の素子との間に空洞を更に備える、請求項18に記載の接合構造物。 The bonded structure according to claim 18, further comprising a cavity between the first element and the second element. 前記界面構造体は前記集積デバイスの周囲に配設されて事実上の閉鎖プロファイルを画定し、前記第1及び第2の素子を接続し、前記事実上の閉鎖プロファイルは、外部環境から前記接合構造物の内部領域へと拡散する気体から、前記内部領域を実質的に封止する、請求項18に記載の接合構造物。 The interface structure is disposed around the integrated device to define a de facto closure profile, connects the first and second elements, and the de facto closure profile is the junction structure from the external environment. The bonded structure according to claim 18, wherein the internal region is substantially sealed from the gas diffused into the internal region of the object. 前記電気的相互接続部は前記第2の素子の一部を通って延びる、請求項19に記載の接合構造物。 19. The junction structure of claim 19, wherein the electrical interconnect extends through a portion of the second element. 接合構造物を形成する方法であって、
第1の導電性特徴部及び第1の非導電性特徴部を含む第1の界面特徴部を有する第1の素子、及び、第2の導電性特徴部及び第2の非導電性特徴部を含む第2の界面特徴部を有する第2の素子を提供することと、
前記第1の界面特徴部の前記第1の導電性特徴部と前記第2の界面特徴部の前記第2の導電性特徴部とを、介在する接着剤を用いずに直接接合することと、
前記第1の界面特徴部の前記第1の非導電性特徴部と前記第2の界面特徴部の前記第2の非導電性特徴部とを、介在する接着剤を用いずに直接接合することと、
を含み、
導電トレースが前記第2の素子の中又は上に配設され、
ボンドパッドが、前記第1の素子の上面に配設され、前記導電トレースと電気通信し、集積デバイスが、前記第1の素子又は前記第2の素子に結合される、若しくは前記第1の素子又は前記第2の素子と共に形成され、前記第1の導電性特徴部と前記第2の導電性特徴部との間の接合界面が、前記接合構造物の内部領域を実質的に包囲する、方法。
A method of forming a bonded structure
A first element having a first interface feature including a first conductive feature and a first non-conductive feature, and a second conductive feature and a second non-conductive feature. To provide a second element having a second interface feature including the present.
Directly joining the first conductive feature portion of the first interface feature portion and the second conductive feature portion of the second interface feature portion without using an intervening adhesive.
Directly joining the first non-conductive feature portion of the first interface feature portion and the second non-conductive feature portion of the second interface feature portion without using an intervening adhesive. When,
Including
Conductive traces are disposed in or on the second element.
A bond pad is disposed on the upper surface of the first element to telecommunications with the conductive trace, and the integrated device is coupled to or coupled to the first element or the second element, or the first element. Alternatively, a method in which a bonding interface formed together with the second element and between the first conductive feature and the second conductive feature substantially surrounds an internal region of the bonded structure. ..
前記ボンドパッドから前記第1の素子を通って延び、前記導電トレースに電気的に接続する電気的相互接続部を提供することを更に含む、請求項24に記載の方法。 24. The method of claim 24, further comprising providing an electrical interconnect that extends from the bond pad through the first element and electrically connects to the conductive trace. 前記第1の界面特徴部は前記第1の素子の上面に配設され、前記ボンドパッドは事実上の閉鎖プロファイルの外側に配設される、請求項24に記載の方法。 24. The method of claim 24, wherein the first interface feature is disposed on the top surface of the first element and the bond pad is disposed outside the de facto closure profile. 前記接合することの前に前記電気的相互接続部を提供することを更に含む、請求項25に記載の方法。 25. The method of claim 25, further comprising providing the electrical interconnect before the joining. 前記接合することの後に前記電気的相互接続部を提供することを更に含む、請求項25に記載の方法。 25. The method of claim 25, further comprising providing the electrical interconnect after the joining.
JP2019543246A 2017-02-09 2017-12-20 Joined structure Active JP7030825B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762457116P 2017-02-09 2017-02-09
US62/457,116 2017-02-09
US201762458441P 2017-02-13 2017-02-13
US62/458,441 2017-02-13
PCT/US2017/067742 WO2018147940A1 (en) 2017-02-09 2017-12-20 Bonded structures

Publications (3)

Publication Number Publication Date
JP2020509942A JP2020509942A (en) 2020-04-02
JP2020509942A5 true JP2020509942A5 (en) 2020-12-10
JP7030825B2 JP7030825B2 (en) 2022-03-07

Family

ID=63037353

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019543246A Active JP7030825B2 (en) 2017-02-09 2017-12-20 Joined structure

Country Status (5)

Country Link
US (2) US10522499B2 (en)
EP (1) EP3580166A4 (en)
JP (1) JP7030825B2 (en)
TW (1) TWI738947B (en)
WO (1) WO2018147940A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7388119B2 (en) 2019-10-17 2023-11-29 日本電気硝子株式会社 Optical window material, method for manufacturing optical window material, optical member, and method for manufacturing optical member

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10607136B2 (en) 2017-08-03 2020-03-31 Xcelsis Corporation Time borrowing between layers of a three dimensional chip stack
TWI822659B (en) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 Structures and methods for low temperature bonding
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
KR20190092584A (en) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 Bonded structure with integrated passive components
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) * 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
WO2019241417A1 (en) 2018-06-13 2019-12-19 Invensas Bonding Technologies, Inc. Tsv as pad
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US20200075533A1 (en) 2018-08-29 2020-03-05 Invensas Bonding Technologies, Inc. Bond enhancement in microelectronics by trapping contaminants and arresting cracks during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
WO2020069089A1 (en) * 2018-09-26 2020-04-02 Ignite, Inc. A mems package
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
CN113330557A (en) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 Bonding structure
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
JP7233982B2 (en) * 2019-03-11 2023-03-07 セイコーインスツル株式会社 Package and package manufacturing method
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
EP3947259A1 (en) * 2019-04-01 2022-02-09 Meridian Innovation Pte Ltd Monolithic post complementary metal-oxide-semiconductor integration of thermoelectric-based infrared detector
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
EP3734303B1 (en) * 2019-05-03 2024-04-03 Afore Oy Cryogenic probe station with loading assembly
US11385278B2 (en) * 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
WO2021133741A1 (en) 2019-12-23 2021-07-01 Invensas Bonding Technologies, Inc. Electrical redundancy for bonded structures
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11735523B2 (en) 2020-05-19 2023-08-22 Adeia Semiconductor Bonding Technologies Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
JP2022071946A (en) * 2020-10-29 2022-05-17 東北マイクロテック株式会社 Laminated semiconductor device
US11855005B2 (en) 2021-06-21 2023-12-26 Globalfoundries U.S. Inc. Crackstop with embedded passive radio frequency noise suppressor and method
US11894473B2 (en) * 2021-09-09 2024-02-06 Chu Hua Chang Sensing module and manufacturing method thereof
FI20225841A1 (en) * 2022-09-27 2024-03-28 Teknologian Tutkimuskeskus Vtt Oy Wafer level package for device

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0272642A (en) * 1988-09-07 1990-03-12 Nec Corp Structure and method for connecting substrates
JPH0344067A (en) * 1989-07-11 1991-02-25 Nec Corp Laminating method of semiconductor substrate
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
DE69429848T2 (en) 1993-11-01 2002-09-26 Matsushita Electric Ind Co Ltd Electronic assembly and manufacturing method
KR960009074A (en) 1994-08-29 1996-03-22 모리시다 요이치 Semiconductor device and manufacturing method thereof
DE4433330C2 (en) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Method for producing semiconductor structures with advantageous high-frequency properties and a semiconductor wafer structure
JP3979687B2 (en) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
JPH10112517A (en) * 1996-10-03 1998-04-28 Ngk Spark Plug Co Ltd Electronic components housing package
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (en) 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP2000100679A (en) 1998-09-22 2000-04-07 Canon Inc Substrate-to-substrate microregion solid-phase junction method with thinner piece and element structure
JP3532788B2 (en) 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
JP2001102479A (en) 1999-09-27 2001-04-13 Toshiba Corp Semiconductor integrated circuit device and manufacturing method thereof
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
JP2001148436A (en) 1999-11-22 2001-05-29 Ngk Spark Plug Co Ltd Ceramic package and its manufacturing method
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP4322402B2 (en) 2000-06-22 2009-09-02 大日本印刷株式会社 Printed wiring board and manufacturing method thereof
JP3440057B2 (en) 2000-07-05 2003-08-25 唯知 須賀 Semiconductor device and manufacturing method thereof
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP2002353416A (en) 2001-05-25 2002-12-06 Sony Corp Semiconductor storage device and manufacturing method therefor
US20020179921A1 (en) 2001-06-02 2002-12-05 Cohn Michael B. Compliant hermetic package
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
JP2003204074A (en) 2001-10-29 2003-07-18 Sharp Corp Sealing film for solar battery and method of manufacturing solar battery panel using the film
US20030113947A1 (en) * 2001-12-19 2003-06-19 Vandentop Gilroy J. Electrical/optical integration scheme using direct copper bonding
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6876062B2 (en) 2002-06-27 2005-04-05 Taiwan Semiconductor Manufacturing Co., Ltd Seal ring and die corner stress relief pattern design to protect against moisture and metallic impurities
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (en) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド Polishing method and polishing composition used therefor
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US20040259325A1 (en) * 2003-06-19 2004-12-23 Qing Gan Wafer level chip scale hermetic package
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7165896B2 (en) 2004-02-12 2007-01-23 Hymite A/S Light transmitting modules with optical power monitoring
US7842948B2 (en) 2004-02-27 2010-11-30 Nvidia Corporation Flip chip semiconductor die internal signal access system and method
US7183622B2 (en) * 2004-06-30 2007-02-27 Intel Corporation Module integrating MEMS and passive components
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
CN104925744A (en) 2004-11-04 2015-09-23 微芯片生物技术公司 Compression And Cold Weld Sealing Methods And Devices
US7358106B2 (en) 2005-03-03 2008-04-15 Stellar Micro Devices Hermetic MEMS package and method of manufacture
US7442570B2 (en) 2005-03-18 2008-10-28 Invensence Inc. Method of fabrication of a AL/GE bonding in a wafer packaging environment and a product produced therefrom
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP2007019107A (en) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd Semiconductor device and its manufacturing method
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7582969B2 (en) 2005-08-26 2009-09-01 Innovative Micro Technology Hermetic interconnect structure and method of manufacture
US20070045795A1 (en) 2005-08-31 2007-03-01 Mcbean Ronald V MEMS package and method of forming the same
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
DE102006016260A1 (en) 2006-04-06 2007-10-18 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Micromechanical housing with at least two cavities with different internal pressure and / or gas composition and method for their production
US7462931B2 (en) 2006-05-15 2008-12-09 Innovative Micro Technology Indented structure for encapsulated devices and method of manufacture
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
US20080124835A1 (en) 2006-11-03 2008-05-29 International Business Machines Corporation Hermetic seal and reliable bonding structures for 3d applications
JP4983219B2 (en) * 2006-11-22 2012-07-25 株式会社村田製作所 Component built-in board
KR100833508B1 (en) 2006-12-07 2008-05-29 한국전자통신연구원 Mems package and package method thereof
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US7737513B2 (en) 2007-05-30 2010-06-15 Tessera, Inc. Chip assembly including package element and integrated circuit chip
JP2009238905A (en) * 2008-03-26 2009-10-15 Nippon Telegr & Teleph Corp <Ntt> Mounting structure and mounting method for semiconductor element
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010013728A1 (en) 2008-07-31 2010-02-04 日本電気株式会社 Semiconductor device and method for manufacturing same
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
KR100945800B1 (en) 2008-12-09 2010-03-05 김영혜 Method for manufacturing heterogeneous bonded wafer
US8269671B2 (en) 2009-01-27 2012-09-18 International Business Machines Corporation Simple radio frequency integrated circuit (RFIC) packages with integrated antennas
US8278749B2 (en) 2009-01-30 2012-10-02 Infineon Technologies Ag Integrated antennas in wafer level package
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
SE537499C2 (en) * 2009-04-30 2015-05-26 Silex Microsystems Ab Bonding material structure and process with bonding material structure
US20100288525A1 (en) 2009-05-12 2010-11-18 Alcatel-Lucent Usa, Incorporated Electronic package and method of manufacture
EP2259018B1 (en) * 2009-05-29 2017-06-28 Infineon Technologies AG Gap control for die or layer bonding using intermediate layers of a micro-electromechanical system
FR2947481B1 (en) 2009-07-03 2011-08-26 Commissariat Energie Atomique SIMPLIFIED COPPER-COPPER BONDING PROCESS
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
FR2954585B1 (en) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies METHOD FOR MAKING A HETEROSTRUCTURE WITH MINIMIZATION OF STRESS
JP5517800B2 (en) 2010-07-09 2014-06-11 キヤノン株式会社 Member for solid-state imaging device and method for manufacturing solid-state imaging device
US8411444B2 (en) 2010-09-15 2013-04-02 International Business Machines Corporation Thermal interface material application for integrated circuit cooling
FR2966283B1 (en) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa METHOD FOR PRODUCING A COLLAGE STRUCTURE
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US9386688B2 (en) 2010-11-12 2016-07-05 Freescale Semiconductor, Inc. Integrated antenna package
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8847337B2 (en) 2011-02-25 2014-09-30 Evigia Systems, Inc. Processes and mounting fixtures for fabricating electromechanical devices and devices formed therewith
US8395229B2 (en) 2011-03-11 2013-03-12 Institut National D'optique MEMS-based getter microdevice
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR101952976B1 (en) 2011-05-24 2019-02-27 소니 주식회사 Semiconductor device
US9540230B2 (en) 2011-06-27 2017-01-10 Invensense, Inc. Methods for CMOS-MEMS integrated devices with multiple sealed cavities maintained at various pressures
JP5982748B2 (en) 2011-08-01 2016-08-31 ソニー株式会社 SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
US9139423B2 (en) 2012-01-19 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Micro electro mechanical system structures
CN103377911B (en) 2012-04-16 2016-09-21 中国科学院微电子研究所 The method improving CMP process uniformity
DE102012206732A1 (en) 2012-04-24 2013-10-24 Robert Bosch Gmbh Method for producing a hybrid integrated component
CN108178526A (en) 2012-05-18 2018-06-19 松下知识产权经营株式会社 The preparation method of compound glass
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8530997B1 (en) 2012-07-31 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Double seal ring
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140130595A1 (en) 2012-11-12 2014-05-15 Memsic, Inc. Monolithic sensor package
DE102012224310A1 (en) 2012-12-21 2014-06-26 Tesa Se Gettermaterial containing adhesive tape
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
US8916448B2 (en) 2013-01-09 2014-12-23 International Business Machines Corporation Metal to metal bonding for stacked (3D) integrated circuits
US9287188B2 (en) 2013-02-05 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a seal ring structure
TWI518991B (en) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US20140225206A1 (en) 2013-02-11 2014-08-14 Yizhen Lin Pressure level adjustment in a cavity of a semiconductor die
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
EP2813456B1 (en) * 2013-06-11 2017-01-11 Rigas Tehniska universitate Cable braking and backward tension device
EP2813465B1 (en) 2013-06-12 2020-01-15 Tronic's Microsystems MEMS device with getter layer
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US9035451B2 (en) 2013-09-30 2015-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer level sealing methods with different vacuum levels for MEMS sensors
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
JP6036662B2 (en) * 2013-11-22 2016-11-30 三菱電機株式会社 Robot simulation apparatus, program, recording medium and method
JP2015100886A (en) * 2013-11-26 2015-06-04 セイコーエプソン株式会社 Electronic device and electronic equipment
JP2015115446A (en) 2013-12-11 2015-06-22 株式会社東芝 Semiconductor device manufacturing method
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9878901B2 (en) 2014-04-04 2018-01-30 Analog Devices, Inc. Fabrication of tungsten MEMS structures
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (en) 2014-07-11 2021-07-09 삼성전자주식회사 Wafer-to-wafer bonding structure
FR3023974B1 (en) 2014-07-18 2016-07-22 Ulis METHOD FOR MANUFACTURING A DEVICE COMPRISING A VACUUM HERMETIC CASE AND A GETTER
US9620464B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Wireless communications package with integrated antennas and air cavity
JP6313165B2 (en) * 2014-08-29 2018-04-18 日東電工株式会社 Thermosetting sealing resin sheet, sealing sheet with separator, semiconductor device, and method for manufacturing semiconductor device
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9331043B1 (en) 2015-01-30 2016-05-03 Invensas Corporation Localized sealing of interconnect structures in small gaps
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
TW201737362A (en) 2015-12-08 2017-10-16 天工方案公司 Transient liquid phase material bonding and sealing structures and methods of forming same
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (en) 2016-06-09 2023-03-03 삼성전자 주식회사 wafer-to-wafer bonding structure
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US10062656B2 (en) 2016-08-15 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Composite bond structure in stacked semiconductor structure
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
CN117878055A (en) 2016-12-28 2024-04-12 艾德亚半导体接合科技有限公司 Treatment of stacked substrates
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
KR20190092584A (en) 2016-12-29 2019-08-07 인벤사스 본딩 테크놀로지스 인코포레이티드 Bonded structure with integrated passive components
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
WO2018147940A1 (en) 2017-02-09 2018-08-16 Invensas Bonding Technologies, Inc. Bonded structures
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (en) 2017-03-22 2020-02-05 キオクシア株式会社 Semiconductor device manufacturing method and semiconductor device
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10312201B1 (en) 2017-11-30 2019-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring for hybrid-bond
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN113330557A (en) 2019-01-14 2021-08-31 伊文萨思粘合技术公司 Bonding structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7388119B2 (en) 2019-10-17 2023-11-29 日本電気硝子株式会社 Optical window material, method for manufacturing optical window material, optical member, and method for manufacturing optical member

Similar Documents

Publication Publication Date Title
JP2020509942A5 (en)
TW201614747A (en) Wire bond sensor package and method
EP2779232A3 (en) Semiconductor device with a chip bonded to a lead frame with a sintered Ag layer, wherein a resin fillet covers the sintered Ag layer and a part of a side surface of the chip and wherein chip electrodes are bonded to leads, as well as method of manufacturing the same
SG144891A1 (en) Image sensor package with die receiving opening and method of the same
EP3267485A3 (en) Sensor package structure
JP2015226056A5 (en)
JP2016096292A5 (en)
JP2007251159A5 (en)
EP3093877A3 (en) Semiconductor package and fabrication method thereof
EP3252812A3 (en) Embedded package structure
AU2014380483A1 (en) Fingerprint recognition device, manufacturing method therefor and electronic device
JP2013219253A5 (en)
JP2020522117A5 (en)
EP2402992A3 (en) Electrical interconnect for an integrated circuit package and method of making same
TW200627555A (en) Method for wafer level package
CN104422553A (en) Micromechanical sensor device and corresponding manufacturing method
CN106653742A (en) Proximity sensor, electronic equipment, and making for manufacturing proximity sensor
JP2015133388A5 (en)
JP2015115419A5 (en)
JP2016225414A5 (en)
CN204067348U (en) Electric connection structure between the front and back of wafer
TW201513288A (en) Semiconductor device
JP2013187464A5 (en)
EP2838114A3 (en) Chip package
JP2016025297A5 (en)