JP2020027926A - 成膜方法及び成膜装置 - Google Patents

成膜方法及び成膜装置 Download PDF

Info

Publication number
JP2020027926A
JP2020027926A JP2018153703A JP2018153703A JP2020027926A JP 2020027926 A JP2020027926 A JP 2020027926A JP 2018153703 A JP2018153703 A JP 2018153703A JP 2018153703 A JP2018153703 A JP 2018153703A JP 2020027926 A JP2020027926 A JP 2020027926A
Authority
JP
Japan
Prior art keywords
film
silicon
film forming
gas
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018153703A
Other languages
English (en)
Other versions
JP7065728B2 (ja
Inventor
瑠威 兼村
Rui Kanemura
瑠威 兼村
寛之 林
Hiroyuki Hayashi
寛之 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018153703A priority Critical patent/JP7065728B2/ja
Priority to KR1020190098813A priority patent/KR102455458B1/ko
Priority to US16/540,347 priority patent/US11101131B2/en
Publication of JP2020027926A publication Critical patent/JP2020027926A/ja
Application granted granted Critical
Publication of JP7065728B2 publication Critical patent/JP7065728B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】凸部の上部に選択的にシリコン膜を成膜できる技術を提供する。【解決手段】基板101の上に形成された複数の凸部102の上部に選択的にシリコン膜を成膜する成膜方法であって、基板にシリコン含有ガスを供給し、凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜104を成膜する第1成膜工程と、第1成膜工程の後、基板にエッチングガスを供給し、凸部の上面にシリコン膜を残存させると共に凸部の側壁のシリコン膜を除去するエッチング工程と、エッチング工程の後、基板にシリコン含有ガスを供給し、凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第2成膜工程と、を有する。【選択図】図3

Description

本開示は、成膜方法及び成膜装置に関する。
シリコン層上に酸化シリコンと窒化シリコンとを交互に積層して形成した積層膜に、リソグラフィとエッチングによって孔(ホール)を形成し、それをマスクとしてシリコン層にトレンチを形成する方法が知られている(例えば、特許文献1参照)。
また、1回のリソグラフィとエッチングによって開けられる孔の深さには限界がある。そのため、限界を超える積層数を有する積層膜に孔を形成する場合、積層膜の形成と孔の形成とが繰り返し行われる。積層膜の形成と孔の形成とを繰り返し行う場合、上層の孔を形成するときのエッチングストッパーとして下層の孔にシリコン膜が埋め込まれる。このとき、孔にシリコン膜が完全に埋め込まれていると、上層の形成後にシリコン膜を除去する際の時間が長くなる。
特開2010−103242号公報
本開示は、凸部の上部に選択的にシリコン膜を成膜できる技術を提供する。
本開示の一態様による成膜方法は、基板の上に形成された複数の凸部の上部に選択的にシリコン膜を成膜する成膜方法であって、前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第1成膜工程と、前記第1成膜工程の後、前記基板にエッチングガスを供給し、前記凸部の上面に前記シリコン膜を残存させると共に前記凸部の側壁の前記シリコン膜を除去するエッチング工程と、前記エッチング工程の後、前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第2成膜工程と、を有する。
本開示によれば、凸部の上部に選択的にシリコン膜を成膜できる。
成膜装置の構成例を示す概略図 図1の成膜装置の処理容器の説明図 第1の実施形態に係る成膜方法を示す工程断面図 第2の実施形態に係る成膜方法を示す工程断面図 第2の実施形態に係る成膜方法の適用例を示す工程断面図
以下、添付の図面を参照しながら、本開示の限定的でない例示の実施形態について説明する。添付の全図面中、同一又は対応する部材又は部品については、同一又は対応する参照符号を付し、重複する説明を省略する。
[成膜装置]
本開示の一実施形態に係る成膜方法を実施できる成膜装置について、多数枚の基板に対して一括で熱処理を行うバッチ式の縦型熱処理装置を例に挙げて説明する。但し、成膜装置は、縦型熱処理装置に限定されるものではなく、種々の装置であってよい。例えば、成膜装置は、基板を1枚ずつ処理する枚葉式の装置であってもよい。また、例えば処理容器内の回転テーブルの上に配置した複数枚の基板を回転テーブルにより公転させ、原料ガスが供給される領域と、原料ガスと反応する反応ガスが供給される領域とを順番に通過させて基板上に成膜するセミバッチ式の装置であってもよい。
図1は、成膜装置の構成例を示す概略図である。図2は、図1の成膜装置の処理容器の説明図である。
図1に示されるように、成膜装置1は、基板である半導体ウエハ(以下「ウエハW」という。)を収容する処理容器34を有する。
処理容器34は、下端が開放された有天井の円筒形状の内管44と、下端が開放されて内管44の外側を覆う有天井の円筒形状の外管46とを有する。内管44及び外管46は、石英等の耐熱性材料により形成されており、同軸状に配置されて二重管構造となっている。
内管44の天井部は、例えば平坦になっている。内管44の一側には、その長手方向(上下方向)に沿ってガス供給管を収容するノズル収容部48が形成されている。例えば図2に示されるように、内管44の側壁の一部を外側へ向けて突出させて凸部50を形成し、凸部50内をノズル収容部48として形成している。ノズル収容部48に対向させて内管44の反対側の側壁には、その長手方向(上下方向)に沿って幅L1の矩形状の開口52が形成されている。
開口52は、内管44内のガスを排気できるように形成されたガス排気口である。開口52の長さは、ウエハボート38の長さと同じであるか、又は、ウエハボート38の長さよりも長く上下方向へそれぞれ延びるようにして形成されている。即ち、開口52の上端は、ウエハボート38の上端に対応する位置以上の高さに延びて位置され、開口52の下端は、ウエハボート38の下端に対応する位置以下の高さに延びて位置されている。
処理容器34の下端は、例えばステンレス鋼により形成される円筒形状のマニホールド54によって支持されている。マニホールド54の上端にはフランジ部56が形成されており、フランジ部56上に外管46の下端を設置して支持するようになっている。フランジ部56と外管46との下端との間にはOリング等のシール部材58を介在させて外管46内を気密状態にしている。
マニホールド54の上部の内壁には、円環状の支持部60が設けられており、支持部60上に内管44の下端を設置してこれを支持するようになっている。マニホールド54の下端の開口には、蓋体36がOリング等のシール部材62を介して気密に取り付けられており、処理容器34の下端の開口、即ち、マニホールド54の開口を気密に塞ぐようになっている。蓋体36は、例えばステンレス鋼により形成される。
蓋体36の中央部には、磁性流体シール部64を介して回転軸66が貫通させて設けられている。回転軸66の下部は、ボートエレベータよりなる昇降手段68のアーム68Aに回転自在に支持されている。
回転軸66の上端には回転プレート70が設けられており、回転プレート70上に石英製の保温台72を介してウエハWを保持するウエハボート38が載置されるようになっている。従って、昇降手段68を昇降させることによって蓋体36とウエハボート38とは一体として上下動し、ウエハボート38を処理容器34内に対して挿脱できるようになっている。ウエハボート38は、多数枚のウエハWを所定の間隔で保持する。
ガス供給手段40は、マニホールド54に設けられており、内管44内へ成膜ガス、エッチングガス、パージガス等のガスを導入する。ガス供給手段40は、複数(例えば3本)の石英製のガス供給管76、78、80を有している。各ガス供給管76、78、80は、内管44内にその長手方向に沿って設けられると共に、その基端がL字状に屈曲されてマニホールド54を貫通するようにして支持されている。
ガス供給管76、78、80は、図2に示されるように、内管44のノズル収容部48内に周方向に沿って一列になるように設置されている。各ガス供給管76、78、80には、その長手方向に沿って所定の間隔で複数のガス孔76A、78A、80Aが形成されており、各ガス孔76A、78A、80Aより水平方向に向けて各ガスを放出できるようになっている。所定の間隔は、例えばウエハボート38に支持されるウエハWの間隔と同じになるように設定される。また、高さ方向の位置は、各ガス孔76A、78A、80Aが上下方向に隣り合うウエハW間の中間に位置するように設定されており、各ガスをウエハW間の空間部に効率的に供給できるようになっている。一実施形態では、ガス供給管76は成膜ガスを供給する成膜ガス供給部を構成し、ガス供給管78はエッチングガスを供給するエッチングガス供給部を構成し、ガス供給管80はパージガスを供給するパージガス供給部を構成する。成膜ガス、エッチングガス、及びパージガスは、流量が制御されて必要に応じて処理容器34内に供給される。
マニホールド54の上部の側壁であって、支持部60の上方には、ガス出口82が形成されており、内管44と外管46との間の空間部84を介して開口52より排出される内管44内のガスを排気できるようになっている。ガス出口82には、排気手段41が設けられる。排気手段41は、ガス出口82に接続された排気通路86を有しており、排気通路86には、圧力調整弁88及び真空ポンプ90が順次介設されて、処理容器34内を真空引きできるようになっている。
外管46の外周側には、外管46を覆うように円筒形状の加熱手段42が設けられている。加熱手段42は、処理容器34内に収容されるウエハWを加熱する。
成膜装置1の各部の動作は、例えばコンピュータ等の制御部95により制御される。また、成膜装置1の各部の動作を行うコンピュータのプログラムは、記憶媒体96に記憶されている。記憶媒体96は、例えばフレキシブルディスク、コンパクトディスク、ハードディスク、フラッシュメモリ、DVD等であってよい。
[成膜方法]
(第1の実施形態)
第1の実施形態に係る成膜方法について、上記の成膜装置1により、基板の上に形成された複数の凸部の上面に選択的にアモルファスシリコン膜(以下「シリコン膜」という。)を成膜する場合を例に挙げて説明する。以下の成膜方法は、制御部95が成膜装置1の各部の動作を制御することにより実行される。図3は、第1の実施形態に係る成膜方法を示す工程断面図である。
最初に、図3(a)に示されるように、表面に複数の凸部102が形成された基板101を準備する(準備工程)。基板101は、例えばシリコンウエハ等の半導体ウエハであってよい。基板101の上面には、例えば表面酸化膜103が形成されている。凸部102は、例えば単層膜であってもよく、積層膜であってもよい。積層膜は、組成の異なるシリコン含有膜を積層した膜であってよい。積層膜としては、例えば酸化シリコン(SiO)と窒化シリコン(SiN)とが交互に積層されたONON積層構造、酸化シリコン(SiO)とポリシリコンとが交互に積層されたOPOP積層構造が挙げられる。
続いて、処理容器34内に基板101を搬入する(搬入工程)。一実施形態では、まず、昇降手段68により多数枚の基板101を保持したウエハボート38を、処理容器34内に搬入し、蓋体36により処理容器34の下端の開口部を気密に塞ぎ密閉する。続いて、排気手段41により処理容器34の内部の圧力が所定の圧力となるように真空引きを行い、加熱手段42により基板101を加熱する。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管76からシリコン含有ガスを供給する。これにより、図3(b)に示されるように、凸部102の側壁102sの下部よりも上部の膜厚が厚くなるようにシリコン膜104を成膜する(第1成膜工程)。第1成膜工程では、隣接する凸部102により形成される開口102aが閉塞しないようにシリコン膜104を成膜することが好ましい。これにより、後のエッチング工程において、コンフォーマルにエッチングを行うためにエッチングガスを開口102aの底部まで行き届かせることができる。シリコン含有ガスは、凸部102の側壁102sの下部よりも上部の膜厚が厚くなるようにシリコン膜104を成膜できるガスであればよく、例えば分子式中に珪素(Si)を2つ以上含む高次シラン系ガスであってよい。高次シラン系ガスとしては、例えばジシラン(Si)ガス、トリシラン(Si)ガス、テトラシラン(Si10)ガスが挙げられる。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管78からエッチングガスを供給する。これにより、図3(c)に示されるように、凸部102の上面102tにシリコン膜104を残存させると共に凸部102の側壁102sのシリコン膜104を除去する(エッチング工程)。このとき、シリコン膜104が除去されて露出した凸部102の側壁102s及び表面酸化膜103の上面には、エッチングガスに含まれるハロゲン105が吸着する。エッチングガスは、凸部102の上面102tにシリコン膜104を残存させると共に凸部102の側壁102sのシリコン膜104を除去できるガスであればよく、例えば臭素含有ガス、ヨウ素含有ガスであってよい。臭素含有ガス又はヨウ素含有ガスを用いると、シリコン膜104をコンフォーマルにエッチングできる。これにより、相対的に膜厚が厚く成膜された凸部102の上面102tのシリコン膜104を残存させると共に、相対的に膜厚が薄く成膜された凸部102の側壁102sのシリコン膜104を除去できる。臭素含有ガスとしては、例えば臭化水素(HBr)ガス又は臭素(Br)ガスが挙げられる。ヨウ素含有ガスとしては、例えばヨウ化水素(HI)ガス又はヨウ素(I)ガスが挙げられる。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管76からシリコン含有ガスを供給する。これにより、図3(d)に示されるように、凸部102の上面102tにシリコン膜104を成膜する(第2成膜工程)。第2成膜工程では、凸部102の側壁102s及び表面酸化膜103の上面に吸着したハロゲン105により、凸部102の側壁102s及び表面酸化膜103の上面にはシリコン膜104が成膜されにくい。これにより、凸部102の上面102tに残存したシリコン膜104表面へのシリコン膜104の成膜の進行が速いため、凸部102の上面102tに選択的にシリコン膜104が成膜される。第2成膜工程では、例えば隣接する凸部102により形成される開口102aが閉塞しないようにシリコン膜104を成膜する。また、第2成膜工程では、例えば凸部102の側壁102s及び表面酸化膜103の上面に膜形成が開始されるまでシリコン含有ガスの供給を継続する。なお、膜形成が開始されるとは、シリコンの核104aが発生した時点であって、核成長が開始される前の時点を意味する。シリコン含有ガスは、例えば第1成膜工程と同様のガスであってよい。また、シリコン含有ガスは、第1成膜工程と異なるガスであってもよく、例えば、モノシラン(SiH)ガスが挙げられる。
続いて、エッチング工程及び第2成膜工程を交互に所定の回数繰り返し、凸部102の上面102tに所定の膜厚のシリコン膜104を成膜する。一実施形態では、エッチング工程(図3(e)参照)、第2成膜工程(図3(f)参照)、及びエッチング工程(図3(g)参照)をこの順番に行う。
以上により、基板101の上に形成された複数の凸部102の上面102tに選択的にシリコン膜104を成膜できる。
なお、第1成膜工程の前に、ウエハボート38を回転させながら、処理容器34内にガス供給管76からアミノシラン系ガスを供給し、凸部102にシード層を形成するシード層形成工程を行ってもよい。第1成膜工程の前にシード層形成工程を行うことで、凸部102の表面に形成されるシリコン膜104の表面粗さを小さくできる。アミノシラン系ガスとしては、例えばDIPAS(ジイソプロピルアミノシラン)、3DMAS(トリスジメチルアミノシラン)、BTBAS(ビスターシャルブチルアミノシラン)を利用できる。
(第2の実施形態)
第2の実施形態に係る成膜方法について説明する。第2の実施形態に係る成膜方法は、基板の上に形成された複数の凸部の上部(上面及び側壁の上部)に選択的にシリコン膜を成膜し、隣接する凸部により形成される開口を塞ぐ方法である。以下の成膜方法は、制御部95が成膜装置1の各部の動作を制御することにより実行される。図4は、第2の実施形態に係る成膜方法を示す工程断面図である。
最初に、図4(a)に示されるように、表面に複数の凸部102が形成された基板101を準備する(準備工程)。準備工程は、第1の実施形態と同様であってよい。
続いて、処理容器34内に基板101を搬入する(搬入工程)。搬入工程は、第1の実施形態と同様であってよい。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管76からシリコン含有ガスを供給する。これにより、図4(b)に示されるように、凸部102の側壁102sの下部よりも上部の膜厚が厚くなるようにシリコン膜104を成膜する(第1成膜工程)。第1成膜工程は、第1の実施形態と同様であってよい。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管78からエッチングガスを供給する。これにより、図4(c)に示されるように、凸部102の上面102tにシリコン膜104を残存させると共に凸部102の側壁102sのシリコン膜104を除去する(エッチング工程)。エッチング工程は、第1の実施形態と同様であってよい。
続いて、ウエハボート38を回転させながら、処理容器34内にガス供給管76からシリコン含有ガスを供給する。これにより、図4(d)に示されるように、凸部102の側壁102sの下部よりも上部の膜厚が厚くなるようにシリコン膜104を成膜する(第2成膜工程)。第2成膜工程では、凸部102の側壁102s及び表面酸化膜103の上面に吸着したハロゲンにより、凸部102の側壁102s及び表面酸化膜103の上面にはシリコン膜104が成膜されにくい。これにより、凸部102の上面102tに残存したシリコン膜104表面へのシリコン膜104の成膜の進行が速いため、凸部102の上面102tに選択的にシリコン膜104が成膜される。第2成膜工程では、隣接する凸部102により形成される開口102aが閉塞するようにシリコン膜104を成膜する。これにより、凸部102の上面102t及び側壁102sの上部に選択的にシリコン膜が成膜され、隣接する凸部102間には空洞102vが形成される。シリコン含有ガスは、例えば第1成膜工程と同様のガスであってよい。また、シリコン含有ガスは、第1成膜工程と異なるガスであってもよく、例えば、モノシラン(SiH)ガスが挙げられる。
以上により、凸部102の上面102t及び側壁102sの上部に選択的にシリコン膜を成膜できる。
次に、第2の実施形態に係る成膜方法の適用例について説明する。以下では、酸化シリコンと窒化シリコンとが交互に積層されたONON積層構造に、リソグラフィとエッチングによって孔(ホール)を形成する方法を説明する。
従来、酸化シリコンと窒化シリコンとが交互に積層されたONON積層構造に孔を形成する場合、所望の積層数を有する積層膜を成膜した後、リソグラフィとエッチングによって全ての層を貫く孔を一気に形成していた。
しかしながら、1回のリソグラフィとエッチングによって開けられる孔の深さには限界があるため、限界を超える積層数を有する積層膜に孔を形成する場合、積層膜の形成と孔の形成とを繰り返し行っている。積層膜の形成と孔の形成とを繰り返し行う場合、上層の孔を形成するときのエッチングストッパーとして下層の孔にシリコン膜が埋め込まれる。しかし、孔にシリコン膜が完全に埋め込まれていると、上層を形成した後にシリコン膜を除去することが困難である。
そこで、第2の実施形態に係る成膜方法により、上記エッチングストッパーとして、孔の内部に空洞が形成されるようにシリコン膜を成膜する。これにより、上層を形成した後にシリコン膜を容易に除去できる。
以下、図5を参照して具体的に説明する。図5は、第2の実施形態に係る成膜方法の適用例を示す工程断面図である。
最初に、図5(a)に示されるように、酸化シリコン511と窒化シリコン512とを交互に積層してONON積層構造(以下「下層510」という。)を形成し、次いで、フォトリソグラフィとエッチングにより下層510を貫通する孔513を形成する。
続いて、第2の実施形態に係る成膜方法により、図5(b)に示されるように、下層510の上部(下層510の上面及び孔513の上部)に選択的にエッチングストッパーとしてシリコン膜514を成膜し、孔513を塞ぐ。このとき、孔513の内部に空洞513vが形成される。
続いて、図5(c)に示されるように、下層510の上面に成膜されたシリコン膜514をエッチングにより除去する。
続いて、図5(d)に示されるように、下層510の上に酸化シリコン521と窒化シリコン522とを交互に積層してONON積層構造(以下「上層520」という。)を形成する。
続いて、図5(e)に示されるように、フォトリソグラフィとエッチングにより、上層520を貫通する孔523を形成する。
続いて、図5(f)に示されるように、例えばウエットエッチングにより、下層510の孔513に形成されたシリコン膜514を除去する。これにより、所望の積層数を有するONON積層構造に、該ONON積層構造を貫通する孔513、523を形成できる。このとき、下層510の孔513にはシリコン膜514が完全に埋め込まれておらず、孔513の内部に空洞513vが形成されているので、シリコン膜514を短時間で除去できる。また、孔513、523側面の膜表面がエッチャントに晒される時間が短くなるので、膜表面へのダメージ発生を抑制できる。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
上記の実施形態では、基板が半導体ウエハである場合を例に挙げて説明したが、これに限定されない。例えば、基板はフラットパネルディスプレイ(FPD:Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
34 処理容器
76 ガス供給管
78 ガス供給管
95 制御部
101 基板
102 凸部
102a 開口
102t 上面
102s 側壁
104 シリコン膜

Claims (13)

  1. 基板の上に形成された複数の凸部の上部に選択的にシリコン膜を成膜する成膜方法であって、
    前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第1成膜工程と、
    前記第1成膜工程の後、前記基板にエッチングガスを供給し、前記凸部の上面に前記シリコン膜を残存させると共に前記凸部の側壁の前記シリコン膜を除去するエッチング工程と、
    前記エッチング工程の後、前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第2成膜工程と、
    を有する、
    成膜方法。
  2. 前記エッチング工程では、前記シリコン膜をコンフォーマルにエッチングする、
    請求項1に記載の成膜方法。
  3. 前記第1成膜工程では、隣接する前記凸部により形成される開口が閉塞しないように前記シリコン膜を成膜する、
    請求項1又は2に記載の成膜方法。
  4. 前記第2成膜工程では、隣接する前記凸部により形成される開口が閉塞しないように前記シリコン膜を成膜する、
    請求項1乃至3のいずれか一項に記載の成膜方法。
  5. 前記第1成膜工程を1回行った後、
    前記エッチング工程及び前記第2成膜工程を交互に所定の回数繰り返し、前記凸部の上面に所定の膜厚のシリコン膜を成膜する、
    請求項1乃至4のいずれか一項に記載の成膜方法。
  6. 前記第2成膜工程では、隣接する前記凸部により形成される開口が閉塞するように前記シリコン膜を成膜する、
    請求項1乃至3のいずれか一項に記載の成膜方法。
  7. 前記第1成膜工程の前に、前記基板にアミノシラン系ガスを供給し、前記凸部にシード層を形成するシード層形成工程を更に有する、
    請求項1乃至6のいずれか一項に記載の成膜方法。
  8. 前記第1成膜工程における前記シリコン含有ガスは、分子式中に珪素を2つ以上含む高次シラン系ガスである、
    請求項1乃至7のいずれか一項に記載の成膜方法。
  9. 前記高次シラン系ガスは、ジシランガスである、
    請求項8に記載の成膜方法。
  10. 前記エッチングガスは、臭素含有ガス又はヨウ素含有ガスである、
    請求項1乃至9のいずれか一項に記載の成膜方法。
  11. 前記臭素含有ガスは、HBrガス又はBrガスであり、
    前記ヨウ素含有ガスは、HIガス又はIガスである、
    請求項10に記載の成膜方法。
  12. 前記凸部は、組成の異なるシリコン含有膜を積層した積層膜である、
    請求項1乃至11のいずれか一項に記載の成膜方法。
  13. 基板の上に形成された複数の凸部の上部に選択的にシリコン膜を成膜する装置であって、
    前記基板を収容する処理容器と、
    前記処理容器内にシリコン含有ガスを供給する成膜ガス供給部と、
    前記処理容器内にエッチングガスを供給するエッチングガス供給部と、
    制御部と、
    を備え、
    前記制御部は、
    前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第1成膜工程と、
    前記第1成膜工程の後、前記基板にエッチングガスを供給し、前記凸部の上面に前記シリコン膜を残存させると共に前記凸部の側壁の前記シリコン膜を除去するエッチング工程と、
    前記エッチング工程の後、前記基板にシリコン含有ガスを供給し、前記凸部の側壁の下部よりも上部の膜厚が厚くなるようにシリコン膜を成膜する第2成膜工程と、
    を実行する、
    成膜装置。
JP2018153703A 2018-08-17 2018-08-17 成膜方法及び成膜装置 Active JP7065728B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2018153703A JP7065728B2 (ja) 2018-08-17 2018-08-17 成膜方法及び成膜装置
KR1020190098813A KR102455458B1 (ko) 2018-08-17 2019-08-13 성막 방법 및 성막 장치
US16/540,347 US11101131B2 (en) 2018-08-17 2019-08-14 Film forming method and film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018153703A JP7065728B2 (ja) 2018-08-17 2018-08-17 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
JP2020027926A true JP2020027926A (ja) 2020-02-20
JP7065728B2 JP7065728B2 (ja) 2022-05-12

Family

ID=69522999

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018153703A Active JP7065728B2 (ja) 2018-08-17 2018-08-17 成膜方法及び成膜装置

Country Status (3)

Country Link
US (1) US11101131B2 (ja)
JP (1) JP7065728B2 (ja)
KR (1) KR102455458B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021225091A1 (ja) * 2020-05-08 2021-11-11 東京エレクトロン株式会社 成膜方法及び成膜装置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7018849B2 (ja) * 2018-08-17 2022-02-14 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2022113560A (ja) * 2021-01-25 2022-08-04 東京エレクトロン株式会社 成膜方法及び成膜装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62165324A (ja) * 1986-01-15 1987-07-21 Canon Inc 堆積膜形成装置
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法
US20170114453A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP2017117977A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP2017152426A (ja) * 2016-02-22 2017-08-31 東京エレクトロン株式会社 成膜方法
JP2017162851A (ja) * 2016-03-07 2017-09-14 東京エレクトロン株式会社 凹部内の結晶成長方法および処理装置
JP2017208534A (ja) * 2016-04-12 2017-11-24 東京エレクトロン株式会社 凹状フィーチャ内の膜のボトムアップ形成方法
JP2018514943A (ja) * 2015-03-20 2018-06-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dコンフォーマル処理用原子層処理チャンバ

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010103242A (ja) 2008-10-22 2010-05-06 Rohm Co Ltd 半導体装置の製造方法および半導体装置
JP4967066B2 (ja) * 2010-04-27 2012-07-04 東京エレクトロン株式会社 アモルファスシリコン膜の成膜方法および成膜装置
JP5490753B2 (ja) * 2010-07-29 2014-05-14 東京エレクトロン株式会社 トレンチの埋め込み方法および成膜システム

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62165324A (ja) * 1986-01-15 1987-07-21 Canon Inc 堆積膜形成装置
JP2015045082A (ja) * 2013-07-31 2015-03-12 東京エレクトロン株式会社 シリコン膜の成膜方法、薄膜の成膜方法および断面形状制御方法
JP2018514943A (ja) * 2015-03-20 2018-06-07 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 3dコンフォーマル処理用原子層処理チャンバ
US20170114453A1 (en) * 2015-10-22 2017-04-27 Applied Materials, Inc. Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
US20170178899A1 (en) * 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP2017117977A (ja) * 2015-12-25 2017-06-29 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP2017152426A (ja) * 2016-02-22 2017-08-31 東京エレクトロン株式会社 成膜方法
JP2017162851A (ja) * 2016-03-07 2017-09-14 東京エレクトロン株式会社 凹部内の結晶成長方法および処理装置
JP2017208534A (ja) * 2016-04-12 2017-11-24 東京エレクトロン株式会社 凹状フィーチャ内の膜のボトムアップ形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021225091A1 (ja) * 2020-05-08 2021-11-11 東京エレクトロン株式会社 成膜方法及び成膜装置

Also Published As

Publication number Publication date
KR102455458B1 (ko) 2022-10-17
KR20200020614A (ko) 2020-02-26
JP7065728B2 (ja) 2022-05-12
US20200058504A1 (en) 2020-02-20
US11101131B2 (en) 2021-08-24

Similar Documents

Publication Publication Date Title
JP5490753B2 (ja) トレンチの埋め込み方法および成膜システム
JP5329265B2 (ja) 半導体装置の製造方法および基板処理装置
US9103029B2 (en) Processing apparatus and film forming method
US20140295667A1 (en) Method of Manufacturing Semiconductor Device
KR102455458B1 (ko) 성막 방법 및 성막 장치
JP6062413B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
TW202115501A (zh) 光阻乾式沉積用設備
JP2011082560A (ja) 微細パターンの形成方法
JP2012134199A (ja) 炭素含有薄膜のスリミング方法及び酸化装置
JP7308774B2 (ja) 成膜方法及び成膜装置
JP2016162931A (ja) 基板処理装置及び基板処理方法
JP2023001165A (ja) 半導体製造装置
JP2016162930A (ja) 基板処理装置及び基板処理方法
JP7018849B2 (ja) 成膜方法及び成膜装置
JP5519059B2 (ja) 半導体デバイスの製造方法および基板処理装置
KR102582447B1 (ko) 성막 방법 및 성막 장치
KR102491930B1 (ko) 성막 방법 및 성막 장치
JP7058520B2 (ja) シリコン膜の成膜方法及び基板処理装置
JP7047117B2 (ja) 半導体装置の製造方法、基板処理装置及び記録媒体
JP6308030B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP2018186236A (ja) 基板処理装置、排気管のコーティング方法及び基板処理方法
JP2019197872A (ja) 半導体膜の形成方法及び成膜装置
WO2024070683A1 (ja) 成膜方法および成膜装置
KR20220164418A (ko) 성막 방법 및 성막 장치
TW202217047A (zh) 用於半導體處理系統的可切換輸送

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210226

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220329

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220426

R150 Certificate of patent or registration of utility model

Ref document number: 7065728

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150