JP2019517017A - フィルファクタ変調によるプロセス適合性改善 - Google Patents

フィルファクタ変調によるプロセス適合性改善 Download PDF

Info

Publication number
JP2019517017A
JP2019517017A JP2018552155A JP2018552155A JP2019517017A JP 2019517017 A JP2019517017 A JP 2019517017A JP 2018552155 A JP2018552155 A JP 2018552155A JP 2018552155 A JP2018552155 A JP 2018552155A JP 2019517017 A JP2019517017 A JP 2019517017A
Authority
JP
Japan
Prior art keywords
target
pitch
design
elements
periodic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018552155A
Other languages
English (en)
Other versions
JP6952711B2 (ja
JP2019517017A5 (ja
Inventor
ウラディミル レビンスキ
ウラディミル レビンスキ
エイタン ハジャジ
エイタン ハジャジ
タル イツコビッチ
タル イツコビッチ
シャロン アハロン
シャロン アハロン
ミカエル イー アデル
ミカエル イー アデル
ユリ パスコバ
ユリ パスコバ
ダリア ネグリ
ダリア ネグリ
ユバル ルバシェブスキー
ユバル ルバシェブスキー
アムノン マナッセン
アムノン マナッセン
ミュンジュン リー
ミュンジュン リー
マーク ディー スミス
マーク ディー スミス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2019517017A publication Critical patent/JP2019517017A/ja
Publication of JP2019517017A5 publication Critical patent/JP2019517017A5/ja
Application granted granted Critical
Publication of JP6952711B2 publication Critical patent/JP6952711B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

提供される計量ターゲット及びターゲットデザイン方法においては、ピッチpを有する周期パターンに属する要素を、置換されるその要素に対し少なくとも一通りの幾何学的差異を有するアシスト要素により置換することでターゲット要素を確定し、それにより、そのピッチpが単一のピッチとして維持される混成周期構造を形成する。先進的多重パターニング技術で以て適合性限界内でターゲットを構築することで、それらターゲットの忠実性が改善されると共に、フィルファクタ変調によるターゲットの調整により、オーバレイの抽出に十分な計量感度を提供しつつそれらターゲットのプロセス適合性を樹立することが可能となる。

Description

本発明は計量の分野、より具体的にはオーバレイ計量ターゲットデザインに関する。
(関連出願への相互参照)
本願は2016年4月4日付米国仮特許出願第62/318086号の利益を主張する出願であるので、この参照を以てその全容を本願に繰り入れることにする。
オーバレイ計量構造は、一方では計量感度、他方では製造性又はプロセス適合性、という相対立する諸条件を充足させる必要性に直面している。これに対応する一例証として、図1Aには、従来技術に係るターゲットデザインの感度・プロセス適合性間トレードオフが、それらターゲット構造のセグメント化サイズとの関係で模式的に表されている。
一般則としては、その組込(integration)機構の最小ピッチは、計量構造のいわゆる粗ピッチ、即ち計量センサ向けに最適化されるピッチよりも、かなり小さくなる。粗ピッチの下限が、通常、所与照明波長の許で最少でも二通りの回折次数がそのセンサの集光光学系により集光される必要がある、という条件で設定されるのである。更に、多くの場合、その計量ターゲットの現・前層格子間にある中間層の短波長での不透明度により最短照明波長が決まってくる。例えば、最小印刷可能リソグラフィピッチが100nmとされうる一方でオーバレイターゲットの粗ピッチは2000nmオーダとされうる。
在来のプロセス適合性樹立方法は、セグメント化のサイズ(計量ターゲットの細ピッチ)を、個々の層の最小デザインルール特徴(フィーチャ)の域まで小さくする、というものである。この手法には生来的なトレードオフがあり、その原因は、製造プロセスをそれに最適化させてある最小デザインルールでのそれに、セグメント化サイズが近づくにつれて、合理的な不確定性限界内でセンサがオーバレイを抽出できないレベルまで、感度が低下することにある。この生来的トレードオフに鑑みターゲットを最適化すべく、様々な従来型ターゲットデザイン、例えばターゲット構造の平行、直交或いは更に対角セグメント化が、順に図1B、図1C及び図1Dに例示の如く提案されている。
昨今の半導体製造ノードでは、自己整合二重,四重パターニングが、かつてなく密で小さなフィーチャを実現すべく、極端紫外(UV)その他の先進的パターニング機構に対する有望且つ重要な代替として普及してきている。これらの方法では、かつてなく密なピッチで印刷する能力が、何が印刷可能で何が印刷不能かについて極端に厳しい拘束が課せられている複雑な組込機構により、実現されている。
図1B、図1C及び図1Dには、順に、従来技術に係るターゲット構造の平行、直交及び対角セグメント化方式90が模式的に描かれている。図1B、図1C及び図1Dにおいては、セグメント化機構90(スペーサアシスト四重パターニング平行セグメント化)が、GDSマスク機構91として、またオンウェハ機構92として描かれている。ターゲット要素は細セグメント化ピッチ76及び粗セグメント化ピッチ95を呈するセグメント化要素70で構成されており、それらターゲット要素で被セグメント化構造が構成されており、隣り合う被セグメント化構造間にはスペース94がある。ターゲット構造はそれら被セグメント化構造71で構成されており、被セグメント化構造71間にはギャップ73があり、それらを印刷すると対応する構造97及びギャップ96になる。
米国特許第7925486号明細書
しかしながら、これら従来方法では、通常、多重パターニング方式におけるデザインルール侵害が、例えばSADP(自己整合二重パターニング)又はSAQP(自己整合四重パターニング)組込機構が原因で発生する。計測ツール(可視光を用いるそれ)の光学的限界故、バー97間スペース96を最小に保たなければ、光学分解能に適合させること及びライン・スペース間コントラスを引き起こすことができない。スペース96が大きいとターゲットのプロセス適合性に影響が及び、ターゲット性能及びデバイス対ターゲット類似性が低下することとなりうる。この問題を克服するためCMP(化学機械処理)アシスト特徴が導入されたものの、プロセス適合性とコントラストとのトレードオフが生じている。
図1E及び図1Fには、従来技術に係るCMPアシスト特徴72付セグメント化機構90が模式的に描かれている。図1E及び図1Fにおいては、セグメント化機構90(スペーサアシスト四重パターニング平行セグメント化)が、GDSマスク機構91としてまたオンウェハ機構92として描かれている。CMPアシスト特徴72たるセグメント化特徴はスペース73内に所在しており、その有効スペース(特徴無しのエリア)を削いでいる。バー71・スペース73間差別性を保つため、CMPアシスト特徴72のセグメント化は、デザインを以て、バー71のセグメント化から生来的に相違させてある。その相違たりうるものには、図1Eに模式的に描かれているセグメント化方向相違と、図1Fに模式的に描かれている意図的な対デザインルール不適合化(最小デザインルールの2倍オーダのそれ)とがある(ピッチ94C≫ピッチ76)。加えて、(印刷適性を損なう近接性問題を回避するための)リソグラフィプロセスの制約故、CMPアシスト特徴72間スペース94Bと、セグメント化要素70・CMPアシスト要素72間スペース94Aとが、最小セグメント化間隔とは異なるそれに保たれている(通常はスペース94A≫ピッチ76)。
後掲の簡略な概要は本発明の原初的理解に資するものである。この概要は、必ずしも主要構成要素を特定するものではなく、また本発明の技術的範囲を限定するものでもなくて、専ら後掲の記述に至る導入部として働くものである。
本発明の一態様は、ピッチpを有する周期パターンに属する要素を、置換されるその要素に対し少なくとも一通りの幾何学的差異を有するアシスト要素により置換することでターゲット要素を画定し、そのピッチpが単一のピッチとして維持される混成周期構造をそれにより形成するステップを有する、ターゲットデザイン方法を提供するものである。
本発明のこれらの、付加的な及び/又は他の態様及び/又は長所については、後掲の詳細記述にて説明されており、恐らくはその詳細記述から推認することができ、及び/又は、本発明の実施により学び取ることができる。
本発明の諸実施形態についてより良好な理解を図るため、並びにそれらをどのようにして実施すればよいかを示すため、以下、対応する要素又は部分に一貫して同様の参照符号が割り当てられている添付図面を、純粋に例示の手法により参照することにする。
添付図面は以下の通りである。
従来技術に係るターゲットデザインの感度・プロセス適合性間トレードオフを、それらターゲット構造のセグメント化サイズとの関係で模式的に表した図である。 従来技術に係るターゲット構造平行セグメント化機構を模式的に描いた図である。 従来技術に係るターゲット構造直交セグメント化機構を模式的に描いた図である。 従来技術に係るターゲット構造対角セグメント化機構を模式的に描いた図である。 従来技術に係るCMPアシスト特徴付セグメント化機構を模式的に描いた図である。 従来技術に係るCMPアシスト特徴付セグメント化機構を模式的に描いた図である。 本発明の幾つかの実施形態に係るターゲットデザイン方法により導出された混成周期ターゲット構造デザインの上位模式図である。 本発明の幾つかの実施形態に係るターゲットデザイン方法により導出された混成周期ターゲット構造デザインの上位模式図である。 本発明の幾つかの実施形態による細ピッチ選択の上位模式図である。 本発明の幾つかの実施形態に係るデバイス、従来型ターゲット及び被開示ターゲットデザイン間の模式的比較図である。 本発明の幾つかの実施形態に係るデバイス、従来型ターゲット及び被開示ターゲットデザイン間の模式的比較図である。 本発明の幾つかの実施形態に係るデバイス、従来型ターゲット及び被開示ターゲットデザイン間の模式的比較図である。 本発明の幾つかの実施形態に係るデバイス、従来型ターゲット及び被開示ターゲットデザイン間の模式的比較図である。 本発明の幾つかの実施形態に係る方法を描いた上位フローチャートである。
詳細記述を提示するのに先立ち、以下用いられる幾つかの語の定義を説明することが助けとなろう。
本願中の用語「要素」、「ターゲット要素」及び「アシスト要素」は、計量ターゲット特にイメージングターゲットの構成部分を指しており、それらの生産段階例えばデザイン段階、レティクル、レジスト、諸生産工程並びにもたらされるターゲットのいずれにあるかを問わない。通常、計量ターゲットは反復要素を有する周期構造を備えており、その反復要素が本発明でいうところの要素である。例えば、それらターゲット要素が、ターゲットデザインをウェハに転写するのに用いられるレティクル上に所在することもある。諸生産段階におけるターゲットの物理特性には違いがあるが、被開示デザイン原理及びそれによりもたらされる構造が何か特定の生産工程に限定されるものではなく、使用リソグラフィテクノロジにより結びつけられている生産工程のいずれに対しても適用可能なことを、はっきり注記しておく。同様のデザイン原理及びターゲット要素デザインをスキャタロメトリ(散乱計測)ターゲットにて用いうること、並びに被開示発明を適切なターゲットデザイン修正の下でスキャタロメトリターゲットのほかイメージングターゲットにも適用しうることを、強調しておく。
後掲の記述では本発明の諸態様が述べられている。説明目的で具体的な構成及び細部が提示され、それにより本発明の徹底理解が図られている。しかしながら、本件技術分野に習熟した者(いわゆる当業者)にはやはり明らかな通り、本発明は本願にて提示されている具体的細部抜きでも実施することができる。更に、本発明を曖昧化させないよう周知特徴を省略又は簡略化したところもある。図面への具体的参照との絡みでは、図示事項が例示であり専ら本発明についての例証的議論を目的としていること、並びにその提示目的が本発明の諸原理及び概念的側面についての最有用且つ理解容易な記述と覚しきものを提供することにあることを、強調しておく。こうした関係で、本発明の基礎的理解に必要な以上に本発明の構造的細部を示す試みはされていないが、その記述を図面と併せ参照することで、いわゆる当業者には、本発明の幾つかの形態をどのようにして現実に実施すればよいかが明らかになろう。
本発明の少なくとも1個の実施形態を詳細に説明する前に、本発明の用途が、後掲の記述にて説明され又は図面にて描かれている部材の構成及び配置の細部により限定されないことを、ご理解頂きたい。本発明は、様々な要領で実施又は実行されうる他の諸実施形態にも、また被開示実施形態同士の組合せにも適用可能である。また、本願にて採用されている表現法及び用語法は、記述を目的とするものであって限定と見なすべきではないことを、ご理解頂きたい。
別様に明定されているのでない限り、後掲の議論から明らかな通り、本明細書の随所における議論のうち「処理」、「計算」、「算出」、「導出」、「拡張」等の語が用いられているものは、コンピュータ若しくは情報処理システム又はそれに類する電子情報処理装置の動作及び/又はプロセスのうち、その情報処理システムのレジスタ及び/又はメモリ内の物理量表現データ例えば電子量表現データを操作するそれ、及び/又は、その情報処理システムのメモリ、レジスタその他類種の情報格納、伝送又は表示デバイス内の他の同様の物理量表現データに変換するそれを、指しているものと認められる。
本発明の諸実施形態は、効率的且つ経済的な計量ターゲットデザイン改善及び最適化方法及び機構を提供することで計量なる技術分野に改良をもたらすもの、とりわけオーバレイイメージング及び/又はスキャタロメトリ計量ターゲットを提供し計測の正確性とターゲットの印刷適性との間のトレードオフを最適化するものである。
発明者が見いだしたところによれば、オーバレイ計量ターゲットの忠実性を向上させるには、それにより本発明が限定されるものと理解されるべきではないものの、先進的パターニング技術例えば自己整合二重,四重パターニング(順にSADP,SAQP)で以て、適合性限界内にてより厳格にターゲットを構築すればよい。その適合性に基づくフィルファクタ変調によりプロセス適合性を向上させることができる。従来技術(例.上掲の図1B〜図1Fにて描かれたそれ)との対比では、諸被開示実施形態により提供される計量ターゲットデザインは、それら複雑な組込機構の限界内に留まりつつもなお、合理的な不確定性限界内でのオーバレイの抽出を実現するのに十分な計量感度が提供されるものとなる。
図2A及び図2Bは、本発明の幾つかの実施形態に係るターゲットデザイン方法200により導出された混成周期ターゲット構造デザイン100の上位模式図である。図2A及び図2Bでは、スペーサアシスト四重パターニングフィルファクタ変調(FFM)セグメント化機構が明視野マスクとして模式的に描かれ、要素70で被印刷要素が表されている。
方法200は、ピッチ75(例えば100nmスケールのピッチp)にて反復する要素70で構成され基本グリッド(限界寸法(CD)74を有する要素群70)を規定する周期構造80(一般的デバイスデザインを模したそれ)から、開始される。この開始点は図1B〜図1Fにて描かれた従来技術、即ちセグメント化周期構造90から開始されセグメント71間ギャップ94に補填(フィル)していく途を探る従来技術と異なっている。本発明では、対照的に、周期パターン80に属する要素70をアシスト要素105により置換すること、そのアシスト要素105を被置換要素に対し少なくとも一通りの幾何学的差異を有するものとすること、ひいてはピッチ75(同じピッチp)が構造105の単一ピッチとして維持される混成周期構造100を形成することで、ターゲット要素71が画定されターゲットデザイン100へと行き着く。
この置換は、看取しうる通り、ギャップ候補103から要素70のうち少なくとも幾つかを除去することでピッチ75より広いギャップ76を中間構造220A中に生成する段階220と、アシスト要素105をギャップ76内に補填することでピッチ75を単一ピッチpとして構造100中に再確立する段階230とを、有している。従って、ターゲット要素71が、同じ幾何学的特徴を有しピッチpにて所在する要素70からなる領域を有する一方、ギャップ104が、同じピッチpを維持しつつもその幾何学的特徴が異なるアシスト要素105からなる領域を有することとなる。図2Bに描かれているように、もたらされる混成周期構造100は、ターゲット要素71であり粗ライン107をもたらすユニット107Aと、粗ピッチ106(例.2000nmスケールのそれ)にて反復する基本ユニット106Aとを有し、その粗ピッチ106が十分に広くてそれにより光学分解能が提供される一方、細ピッチ75により印刷適性が提供されるものとなる。要素70・アシスト要素105間の幾何学的特徴の違いを限界寸法(CD)とすること、例えばアシスト要素105を要素70よりも細くしより小さなアシスト要素CD114<ターゲット要素CD74を呈するようにすることができる。
もたらされるターゲット102のターゲット要素109は十分にギャップ108から区別されるものとなり、それにより必要な光学分解能が(粗ライン107で構成され粗ピッチ106を呈し)提供される一方、ターゲットデザイン100のピッチpがデザインルールに適合し、印刷上の問題に由来する不正確性がそれにより排されることとなる。
混成周期ターゲット構造デザイン100は、ターゲット要素71と、少なくとも1個の幾何学的特徴が異なるアシスト要素105とを備え、混成周期ターゲット構造が形成されるようそれらが単一ピッチpにて配置されたものであり、それを構築ブロックとして用いてフルスケール計量ターゲットデザインを得ること、即ちデザイン100を用い従来型デザイン90,91(図2B中で標準AIM(先進的イメージング計量)ターゲットデザインを以て例示されているそれ)の一部又は全体を置換することができる。
本発明の諸実施形態によれば従来技術の短所が克服される。図示諸実施形態は、自己整合二重,四重パターニング(順にSADP,SAQP)との関係で非限定的態様にて概述されたものであり、他の組込機構にも遜色なく適用することができる。本発明は、フィルファクタ変調を、光学分解能(2000nmスケールの粗ピッチが必須)対ターゲット印刷適性(100nmスケールの細ピッチが必須)のトレードオフ問題に対する新規な解として、開示するものである。本発明は、細ピッチ75のデバイスセグメント化格子(或いはフォトリソグラフィ及び製造プロセスに対し適合させうる所定の周期性)に従う高密度アーキテクチャデザインであり、その反復要素70のうち幾つかが完全に又はSRAF(サブ分解能アシスト特徴)要素105による置換で以て除去されたものを、開示するものである。被除去要素のターゲット1個当たり個数及び位置は個々のターゲットスタック向けに最適化することができる。
図2Cは、本発明の幾つかの実施形態に係る細ピッチ選択の上位模式図である。ピッチ75は個々のパターニングプロセスに従い選択すればよく、とりわけそのマスクへのSRAF要素105の導入により、デバイスピッチ75を有するマスクパターン100の周期性をサポートし、スキャナ収差に起因する被印刷パターン102・デバイス間オフセットを減らすことができる。
ある種の実施形態によれば、整列(アライメント)を経てある共通の対称中心を共有するよう構成された複数個のターゲット構造71をターゲット構造100に具備させること、また各ターゲット構造71に1方向当たり少なくとも2個のパターン構成要素を具備させることができる。それらパターン構成要素には、個々のパターニングプロセスの周期性に合致するセグメント化グリッド上での、諸位置に拘束されるよう構成された特徴を具備させる。特徴有り対無しのフィルファクタを調整することで、計量センサにより検出可能な周期性にてコントラストを発生させることができる。
図2A〜図2C中の諸要素は、作動可能であればどのような組合せの態で組み合わせてもよく、特定の要素が特定の図面中で描写され他の図面中で描写されていないことは、専ら説明目的で役立つものであり限定性のものではない。
提案手法によれば、二重及び四重パターニングに係る最小開放スペース幅が、次の非限定的な例の如くに定まる。二重パターニングの場合、リソグラフィピッチ75が90nmなら最小開放スペース幅77Aが3×45nm−15nm=120nmとなるのに対し、四重パターニングでは、最小開放スペース幅77Bが5×22.5nm−7nm≒105nmとなる。この最小スペース幅は、粗ピッチ106内非周期的セグメント化を用い更に狭めることができる。開放スペース77A,77Bが被印刷パターン中に残り、ターゲットのコントラストとそのターゲットのプロセス適合性との間のトレードオフをなす。偏向若しくは暗視野計量又はその他のコントラスト強調的光学方式の使用により、開放スペース(77A,77B)の最大幅をリソグラフィデバイスピッチ75未満に縮減することが可能となりうる。
図3A〜図3Dは、本発明の幾つかの実施形態に係る、デバイス、従来型ターゲット及び被開示ターゲットデザイン間の模式的比較図である。この比較図には、非限定的な要領で、被開示ターゲットデザイン100の長所、並びに上述したトレードオフに対しターゲットデザイン100を最適化する方法が描かれている。図3Aには、個々のデバイス80A(デバイス80に対応するそれ)、標準AIMターゲット90A(標準AIMターゲット90に対応するそれ)、FFMターゲット要素220B(FFMターゲット220Aに対応するそれ)及びFFM+SRAFターゲットデザイン100A(FFM+SRAFターゲットデザイン100に対応するそれ)に係るマスクが模式的に描かれている。これらのマスクは、オーバレイターゲット向けに広く用いられている暗視野マスクを模式的に表現したものであり、要素60,60A,60B,60Cがそのマスクのレティクル内の遮光(例.染色)領域を表している。
図3Aは、本発明の幾つかの実施形態に従いターゲットデザインテストにて用いられたデバイスマスク80A、標準AIMターゲットマスク90A、フィルファクタ変調(FFM)ターゲットマスク220B及びFFM+SRAFターゲットデザインマスク100Aの例の上位模式図である。要素70A、ピッチ75A及びCD74Aが、図2A及び図2B中に描かれている要素70、ピッチ75及びCD74に対応する位置に、模式的に描かれている。個々のマスク80A、90A、220B及び100Aの相対応する要素60、ピッチ65及びCD64も、ターゲット要素71及びギャップ103,104がそうであるように模式的に描かれている。
非限定的な寸法例でいえば、デバイスピッチ75を100nm、デバイスCDを50nmとすることができる。ターゲットデザイン100においては、粗ピッチ106を1700nm、粗ライン107(例.12本のターゲット要素70)を1150nm、粗スペース103Aを650nmとすることで、粗ライン(107)対粗スペース(103A)比即ちL:S比を1.77とし、印刷適性,パターン位置決め誤差(PPE)双方を改善することができる。ある種の実施形態によれば、1超のL:S比(L:S>1)を明視野又は暗視野マスクデザインについて用い印刷適性及びPPEを改善することができる。
図3Bは、本発明の幾つかの実施形態に係り図3A中に表されているターゲットマスクデザインについての空間イメージング性能テストの上位模式図である。最適化ダイポールX照明をデバイス上にアンカリングされた暗視野二値マスク及び露光部分現像(PTD)と併用し、またY偏向を用いたときの空間像の比較結果が、デザイン80、90、220A及び100について示されている。発明者が指摘するところによれば、AIMターゲット90及びFFMターゲット220Aに比しFFM+SRAFターゲット100なら、デバイス80に最良整合する空間像が得られ、しかもその処理窓が最大となる。イメージング性能の違いがエッジライン70Bにてひときわ顕著であることは、そのイメージング性能との関連で格別な重要性を有している。
図3Cは、本発明の幾つかの実施形態に係り図3A中に表されているターゲットマスクデザインについてのレジストイメージング性能テストの上位模式図である。発明者が指摘するところによれば、AIMターゲット90及びFFMターゲット220Aに比し、FFM+SRAFターゲット100なら最良のエッジライン印刷適性が得られるのであり、これは図3B中に表した結果と一致している。図3Cに示す例では、AIMターゲット90からFFMターゲット220Aを経てFFM+SRAFターゲット100へと進むにつれ、もたらされるターゲット像プロファイルがデバイス像プロファイル80に近くなるため、イメージング性能が向上している(デバイス80がデザインを以てオーバレイターゲットに比し良好なリソグラフィ性能を有するものとされているためである)。
図3Dは、本発明の幾つかの実施形態に係り図3A中に表されているターゲットマスクデザインのスキャナ出射瞳についての回折比較の上位模式図である。発明者が指摘するところによれば、AIMターゲット90及びFFMターゲット220Aに比し、FFM+SRAFターゲット100では、強度が最高となり(像の上線)且つ回折信号が最も類似した形状になる(像の下線)ため、良好な瞳整合結果(幅広矢印で描かれているそれ)が得られPPEバイアスが減る。
ある種の実施形態によれば、スペース104及び/又はピッチ75を調整することで、禁制リソグラフィピッチを回避することができる。複数個の混成周期構造100で構成される計量オーバレイイメージングターゲットによれば、スペース104及び/又はピッチ75を調整することで、モデルデバイスに対するターゲットの回折パターン(例.デバイス80及び図3D中に模式的に描かれている回折パターン)にて密整合を得ることができる。ある種の実施形態によれば、モデルデバイスに対するターゲットの回折パターン(例.デバイス80及び図3D中に模式的に描かれている回折パターン)にて密整合が得られるよう構成されたSRAFアシスト要素105を、混成周期構造100に付加することができる。リソグラフィシミュレーションを用いターゲット要素71のエッジ配置、例えば図3B及び図3Cに描かれているエッジ要素70Bのそれをデザインすることができる。
図4は、本発明の幾つかの実施形態に係る方法200を描いた上位フローチャートである。この方法の諸段階は上述したターゲット構造100、例えば方法200が実現されるよう随意に構成されたそれに対し、実行することができる。方法200は少なくとも1個のコンピュータプロセッサ、例えば計量ターゲットデザインモジュール内のそれにより、少なくとも部分的に実施することができる。ある種の実施形態は、方法200の関連段階を実行するよう構成されたコンピュータ可読プログラムが組み込まれた、コンピュータ可読格納媒体を有するコンピュータプログラム製品を備える。ある種の実施形態は、方法200の諸実施形態によりデザインされた個別ターゲットのターゲットデザインファイルを備える。
方法200は、ピッチpを有する周期パターンに属する要素を、置換されるその要素に対し少なくとも一通りの幾何学的差異を有するアシスト要素により置換することでターゲット要素を画定し、ひいてはそのピッチpが単一ピッチとして維持される混成周期構造を形成する段階210を、有する方法とすることができる。当該少なくとも一通りの幾何学的差異は限界寸法とすることができる。
方法200は、デバイス周期パターンから要素を削除する段階220、細ピッチを回復すべくアシスト要素を導入する段階230、並びに必須ではないがターゲット要素の光学分解能及び印刷適性を最適化すべくアシスト要素をデザインする段階240を、有する方法とすることができる。
方法200は、禁制リソグラフィピッチが回避されるようスペース及び/又は細セグメント化を調整する段階250、及び/又は、デバイス及びターゲットの回折パターンにて密整合を得るべくスペース及び/又は細セグメント化を調整する段階255を、有する方法とすることができる。
方法200は、デバイス及びターゲットの回折パターンにて密整合を得るべくSRAF要素(群)を付加する段階260を、有する方法とすることができる。方法200は、リソグラフィシミュレーションを用い細セグメント化のエッジ配置のデザインを行う段階270を、有する方法とすることができる。
方法200は、更に、混成周期構造複数個を素材としてイメージングターゲットをデザインする段階280と、それらターゲットを作成及び/又は計測する段階290とを、有する方法とすることができる。方法200は、コンピュータプロセッサ(群)を用いそれら段階のうち任意のものを実行する段階295を、有する方法とすることができる。方法200は、レティクルレイアウト用計量ターゲット構造デザインを生成するコンピュータ実施方法、キャリア媒体及びシステムを開示しておりこの参照によりその全容が本願に繰り入れられる特許文献1により教示された段階を、有する方法とすることができる。オーバレイスキャタロメトリターゲットを、開示されている諸原理及び開示されている諸ターゲット要素を用いデザインしうること、並びに本件開示の同様な構成部分と見なせることに注意されたい。
ある種の実施形態は、ターゲット100の、及び/又は、方法200によりデザインされたターゲットの計量計測を含むものであり、例えば偏向照明を用いた計測を含むものである。
有益なことに、ターゲット100及び方法200によれば、セグメント化グリッドに対する全ターゲット内要素の位置が拘束されるため、印刷適性の向上を実現することができる。この長所が樹立されるのは、諸実施形態にて、SRAF要素105を追加するのに十分なスペースが、FFMパターン220A向けのCD拡張を経て提供されるためである。この粗ピッチ・細ピッチ間釣合条件が限定とならないことを強調しておく。ターゲット100及び方法200によれば、オンウェハ特徴間最小間隔を維持しつつデバイスの限界寸法に全要素が拘束されるため、プロセス適合性の向上を実現することができる。ターゲット100及び方法200によれば、提案されているターゲット構造が様々なパターン従属性(例えば密度、L/S寸法)処理工程(リソグラフィ、エッチング、CMP、恐らくは更に堆積)に対しデバイス様応答を呈するため、ターゲット対デバイス整合の改善を実現すること(ターゲット対デバイスオフセットを最小化すること)ができる。
本発明の諸態様について、本発明の諸実施形態に係る方法、装置(システム)及びコンピュータプログラム製品のフローチャート描写及び/又は部分図を参照し上述した。ご理解頂けるように、それらフローチャート描写及び/又は部分図の各部分、並びに当該フローチャート描写及び/又は部分図の諸部分の組合せを、コンピュータプログラム命令により実施することができる。これらコンピュータプログラム命令を汎用コンピュータ、専用コンピュータその他、プログラマブルデータ処理装置のプロセッサに供給することで、それら命令がそのコンピュータその他のプログラマブルデータ処理装置に備わるプロセッサの働きで実行されそのフローチャート及び/又は部分図或いはその諸部分にて特定されている機能/動作を実施する手段が生成されるように、マシンを作成することができる。
それらコンピュータプログラム命令を、ある特定の要領で機能せよとコンピュータ、他のプログラマブルデータ処理装置或いはその他の装置に命令しうるコンピュータ可読媒体内に格納すること、ひいてはそのフローチャート及び/又は部分図或いはその諸部分にて特定されている機能/動作を実現する命令が組み込まれた産品がそのコンピュータ可読媒体内に格納されている命令により作成されるようにすることもできる。
それらコンピュータプログラム命令をコンピュータ、他のプログラマブルデータ処理装置或いはその他の装置上にロードしそのコンピュータ、他のプログラマブル装置或いはその他の装置上で一連の動作ステップを実行させることで、コンピュータ実施プロセスを作成すること、ひいてはそのコンピュータその他のプログラマブルな装置上で実行される命令により、そのフローチャート及び/又は部分図或いはその諸部分にて特定されている機能/動作を実施するプロセスが提供されるようにすることもできる。
上掲のフローチャート及び図面には、本発明の諸実施形態に係るシステム、方法及びコンピュータプログラム製品の潜在的実現形態のアーキテクチャ、機能及び動作が描かれている。ここに、それらフローチャート又は部分図の各部分により、特定されている論理機能(群)を実施するための可実行命令1個又は複数個で構成されるモジュール、セグメント又はコード部分を、表現することができる。幾つかの代替的実現形態では、その部分に記されている機能が図示順序によらず生起しうることにも、注意されたい。例えば、相次いで継起する二部分が実際にはほぼ同時に実行されることもあるし、それらの部分がときとして逆の順序で実行されることもあるのであり、これは関連する機能により左右される。それら部分図及び/又はフローチャート描写の各部分、或いはそれら部分図及び/又はフローチャート描写の諸部分の組合せが、特定されている機能又は動作を実行する専用ハードウェアベースシステムにより、或いは専用ハードウェア及びコンピュータ命令の組合せにより実施されうることにも、注意されたい。
上掲の記述における一実施形態とは本発明の一例又は一実現形態のことである。「ある実施形態」、「一実施形態」、「ある種の実施形態」又は「幾つかの実施形態」なる様々な表現が、必ずしも、全て同じ実施形態を指しているとは限らない。本発明の諸特徴を単一実施形態の文脈にて記述しうるけれども、それらの特徴が個別に提供され又は何らかの好適な組合せで提供されるのでもよい。逆に、本願中には本発明を幾つかの実施形態の文脈で記述し明瞭化を図っているところがあるが、本発明を単一実施形態の態で実施することもできる。本発明のある種の実施形態によれば、先に開示した諸実施形態のうち相異なるものから特徴を取り入れることができ、またある実施形態によれば、先に開示されている他の諸実施形態から要素を組み込むことができる。特定の実施形態の文脈による本発明の構成要素の開示を、その特定の実施形態のみにおけるその使用に限定するものとして捉えるべきではない。更に、本発明を様々な手法で実行又は実施しうること、並びに本発明を上掲の記述にて概論したそれとは異なる種類の実施形態に従い実施しうることを、ご理解頂きたい。
本発明は、それらの図面やそれに対応する記述に限定されるものではない。例えば、フローが個々の図示ボックス又は状態を通過する必要も、図示及び記述と厳密に同じ順序で通過する必要もない。本願にて用いられている技術用語及び科学用語の意味は、別様に定義されているのでない限り、本発明が属する分野におけるいわゆる当業者によるそれ通り、一般に理解されるべきである。本発明について少数の実施形態との関連で述べたが、それらを本発明の技術的範囲に対する限定事項として解すべきではなく、寧ろその好適な実施形態のうち幾つかの例示として解すべきである。潜在的な変形、修正及び応用は他にもあり、それらも本発明の技術的範囲内に属している。このように、本発明の技術的範囲は、ここまでに述べられたことにより限定されるべきではなく、添付する特許請求の範囲及びそれらの法的均等物により限定されるべきものである。

Claims (21)

  1. ターゲットデザイン方法であって、ピッチpを有する周期パターンに属する要素を、置換されるその要素に対し少なくとも一通りの幾何学的差異を有するアシスト要素により置換し、それによりターゲット要素を画定することで、そのピッチpが単一ピッチとして維持される混成周期構造を形成するステップを、有する方法。
  2. 請求項1に記載の方法であって、上記少なくとも一通りの幾何学的差異が限界寸法である方法。
  3. 請求項1に記載の方法であって、更に、禁制リソグラフィピッチが回避されるよう上記ターゲット要素間のスペース及び/又はピッチpを調整するステップを有する方法。
  4. 請求項1に記載の方法であって、更に、リソグラフィシミュレーションを用い上記ターゲット要素のエッジ配置をデザインするステップを有する方法。
  5. 請求項1に記載の方法であって、更に、上記混成周期構造複数個を素材にして計量オーバレイイメージングターゲットをデザインするステップを有する方法。
  6. 請求項5に記載の方法であって、更に、モデルデバイスに対する上記ターゲットの回折パターンにて密整合が得られるよう上記ターゲット要素間のスペース及び/又はピッチpを調整するステップを有する方法。
  7. 請求項5に記載の方法であって、更に、モデルデバイスに対する上記ターゲットの回折パターンにて密整合が得られるよう構成されたアシスト要素を上記混成周期構造に付加するステップを有する方法。
  8. 請求項1乃至7のうちいずれか一項に記載の方法であって、少なくとも1個のコンピュータプロセッサにより実行される方法。
  9. 請求項1乃至8のうちいずれか一項に記載の方法に係る混成周期構造デザイン。
  10. 請求項9に記載の混成周期ターゲット構造デザインを複数個備える計量オーバレイイメージングターゲット。
  11. 非一時的コンピュータ可読格納媒体を備えるコンピュータプログラム製品であり、その非一時的コンピュータ可読格納媒体で以てコンピュータ可読プログラムが体現されており、請求項1乃至8のうちいずれか一項に記載の方法を実行するようそのコンピュータ可読プログラムが構成されているコンピュータプログラム製品。
  12. ターゲット要素及びアシスト要素を備え、それらが少なくとも1個の幾何学的特徴による相違を有し且つ単一のピッチpにて配置されており、それにより混成周期ターゲット構造が形成されている混成周期ターゲット構造デザイン。
  13. 請求項12に記載の混成周期ターゲット構造デザインであって、上記少なくとも1個の幾何学的特徴が限界寸法である混成周期ターゲット構造デザイン。
  14. 請求項12に記載の混成周期ターゲット構造デザインであって、禁制リソグラフィピッチが回避されるよう上記ターゲット要素間のスペース及び/又はピッチpが調整された混成周期ターゲット構造デザイン。
  15. 請求項12に記載の混成周期ターゲット構造デザインであって、上記ターゲット要素のエッジ配置がリソグラフィシミュレーションを用いデザインされた混成周期ターゲット構造デザイン。
  16. 請求項12乃至15のうちいずれか一項に記載の混成周期ターゲット構造デザインを複数個備える計量ターゲットデザイン。
  17. 請求項16に記載の計量ターゲットデザインであって、モデルデバイスに対する上記ターゲットデザインの回折パターンにて密整合が得られるよう上記ターゲット要素間のスペース及び/又はピッチpが調整された計量ターゲットデザイン。
  18. 請求項16に記載の計量ターゲットデザインであって、上記混成周期構造が、更に、モデルデバイスに対する上記ターゲットデザインの回折パターンにて密整合が得られるよう構成された少なくとも1個のアシスト要素を備える計量ターゲットデザイン。
  19. 請求項12乃至18のうちいずれか一項に記載の計量ターゲットデザインから多重パターニングによりもたらされるターゲット。
  20. 請求項19に記載のターゲットによる計量計測。
  21. 請求項20に記載の計量計測であり、偏向照明を用い実行される計量計測。
JP2018552155A 2016-04-04 2016-11-04 ターゲットデザイン方法、製造方法及び計量ターゲット Active JP6952711B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662318086P 2016-04-04 2016-04-04
US62/318,086 2016-04-04
PCT/US2016/060626 WO2017176314A1 (en) 2016-04-04 2016-11-04 Process compatibility improvement by fill factor modulation

Publications (3)

Publication Number Publication Date
JP2019517017A true JP2019517017A (ja) 2019-06-20
JP2019517017A5 JP2019517017A5 (ja) 2019-12-12
JP6952711B2 JP6952711B2 (ja) 2021-10-20

Family

ID=60001339

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018552155A Active JP6952711B2 (ja) 2016-04-04 2016-11-04 ターゲットデザイン方法、製造方法及び計量ターゲット

Country Status (8)

Country Link
US (1) US10579768B2 (ja)
EP (1) EP3440511B1 (ja)
JP (1) JP6952711B2 (ja)
KR (1) KR20180123156A (ja)
CN (1) CN109073981B (ja)
IL (1) IL261879B (ja)
TW (1) TWI710860B (ja)
WO (1) WO2017176314A1 (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11112704B2 (en) * 2017-02-10 2021-09-07 Kla-Tencor Corporation Mitigation of inaccuracies related to grating asymmetries in scatterometry measurements
KR102447611B1 (ko) 2017-06-06 2022-09-26 케이엘에이 코포레이션 레티클 최적화 알고리즘들 및 최적의 타겟 설계
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US20190250504A1 (en) * 2017-10-23 2019-08-15 Kla-Tencor Corporation Reduction or elimination of pattern placement error in metrology measurements
EP3853665B1 (en) * 2018-10-30 2023-12-20 Kla-Tencor Corporation Estimation of asymmetric aberrations

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020045106A1 (en) * 2000-07-21 2002-04-18 Baselmans Johannes Jacobus Matheus Assist features for use in lithographic projection
JP2006048067A (ja) * 2001-02-28 2006-02-16 Asml Masktools Bv 極端相互作用ピッチ領域を識別する方法、マスクパターンを設計する方法およびマスクを製造する方法、デバイス製造方法およびコンピュータプログラム
JP2006293081A (ja) * 2005-04-12 2006-10-26 Toshiba Corp 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
WO2015109036A1 (en) * 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
WO2016030255A2 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303252B1 (en) * 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6433878B1 (en) * 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
SG108975A1 (en) * 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7749662B2 (en) * 2005-10-07 2010-07-06 Globalfoundries Inc. Process margin using discrete assist features
US7925486B2 (en) 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR100880232B1 (ko) * 2007-08-20 2009-01-28 주식회사 동부하이텍 미세 마스크 및 그를 이용한 패턴 형성 방법
JP2009109581A (ja) * 2007-10-26 2009-05-21 Toshiba Corp 半導体装置の製造方法
JP5529391B2 (ja) * 2008-03-21 2014-06-25 ルネサスエレクトロニクス株式会社 ハーフトーン型位相シフトマスク、そのハーフトーン型位相シフトマスクを有する半導体装置の製造装置、およびそのハーフトーン型位相シフトマスクを用いた半導体装置の製造方法
JP5627394B2 (ja) * 2010-10-29 2014-11-19 キヤノン株式会社 マスクのデータ及び露光条件を決定するためのプログラム、決定方法、マスク製造方法、露光方法及びデバイス製造方法
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
US10001711B2 (en) * 2013-12-17 2018-06-19 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10670975B2 (en) * 2015-12-17 2020-06-02 Asml Netherlands B.V. Adjustment of a metrology apparatus or a measurement thereby based on a characteristic of a target measured

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020045106A1 (en) * 2000-07-21 2002-04-18 Baselmans Johannes Jacobus Matheus Assist features for use in lithographic projection
JP2006048067A (ja) * 2001-02-28 2006-02-16 Asml Masktools Bv 極端相互作用ピッチ領域を識別する方法、マスクパターンを設計する方法およびマスクを製造する方法、デバイス製造方法およびコンピュータプログラム
JP2006293081A (ja) * 2005-04-12 2006-10-26 Toshiba Corp 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
WO2015109036A1 (en) * 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
WO2016030255A2 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Also Published As

Publication number Publication date
IL261879A (en) 2018-10-31
US20180157784A1 (en) 2018-06-07
JP6952711B2 (ja) 2021-10-20
CN109073981A (zh) 2018-12-21
KR20180123156A (ko) 2018-11-14
EP3440511B1 (en) 2024-03-06
TWI710860B (zh) 2020-11-21
WO2017176314A1 (en) 2017-10-12
CN109073981B (zh) 2021-09-24
US10579768B2 (en) 2020-03-03
EP3440511A4 (en) 2019-12-18
IL261879B (en) 2021-01-31
EP3440511A1 (en) 2019-02-13
TW201800873A (zh) 2018-01-01

Similar Documents

Publication Publication Date Title
JP2019517017A (ja) フィルファクタ変調によるプロセス適合性改善
US10012898B2 (en) EUV mask for monitoring focus in EUV lithography
US9448470B2 (en) Method for making a mask with a phase bar in an integrated circuit design layout
US20040166418A1 (en) Method of optical proximity correction with sub-resolution assists
TWI623818B (zh) 用於製程參數量測之目標元件類型
CN105452956A (zh) 掩模坯料、带有负型抗抗蚀膜的掩模坯料、相移掩模及使用其的图案形成体的制造方法
CN101305320A (zh) 采用独立掩模误差模型的掩模验证系统和方法
US8592110B2 (en) Alignment marks for multi-exposure lithography
CN103370654A (zh) 用于印刷高分辨率二维周期性图案的方法和装置
JP2022153592A (ja) ホットスポット及びプロセスウィンドウ監視装置
Lawes Future trends in high-resolution lithography
US20050084769A1 (en) Manufacturable chromeless alternating phase shift mask structure with phase grating
Van Look et al. Alternative EUV mask technology to compensate for mask 3D effects
JP5050618B2 (ja) 多重露光技術用フォトマスクのパタンデータ作成方法
Pang et al. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers
Van Look et al. Experimental validation of novel EUV mask technology to reduce mask 3D effects
Xu et al. Lithographic qualification of high-transmission mask blank for 10nm node and beyond
KR100816244B1 (ko) 반도체 소자용 마스크 및 이를 이용한 패턴 형성 방법
Philipsen et al. Mask innovations on the eve of high NA EUV lithography
Lai et al. Optical Microlithography XXVIII
Liebmann Mask challenges in high-resolution lithography
TW575903B (en) Adjusting method of phase-match mapping in lithography process
Tsiamis Electrical test structures and measurement techniques for the characterisation of advanced photomasks
Morgana et al. Polarization effects: EAPSM vs. TT EAPSM
Yoon et al. Phase-shifted assist feature OPC for sub-45-nm node optical lithography

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191031

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191031

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200624

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210928

R150 Certificate of patent or registration of utility model

Ref document number: 6952711

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150