TWI710860B - 複合週期性目標結構 - Google Patents

複合週期性目標結構 Download PDF

Info

Publication number
TWI710860B
TWI710860B TW106110992A TW106110992A TWI710860B TW I710860 B TWI710860 B TW I710860B TW 106110992 A TW106110992 A TW 106110992A TW 106110992 A TW106110992 A TW 106110992A TW I710860 B TWI710860 B TW I710860B
Authority
TW
Taiwan
Prior art keywords
target
pitch
elements
design
auxiliary
Prior art date
Application number
TW106110992A
Other languages
English (en)
Other versions
TW201800873A (zh
Inventor
維拉得摩 朗維司基
依坦 哈賈
托爾 伊茲卡維奇
夏倫 雅哈崙
麥克 E 艾黛兒
尤瑞 帕斯卡維爾
戴瑞亞 尼葛瑞
尤法 路巴希福斯基
阿農 馬那森
李明俊
馬克 D 史密斯
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201800873A publication Critical patent/TW201800873A/zh
Application granted granted Critical
Publication of TWI710860B publication Critical patent/TWI710860B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

本發明提供計量目標及目標設計方法,其中藉由以輔助元件替換來自具有一節距p之一週期性圖案之元件來定義目標元件以形成將該節距p維持為一單個節距之一複合週期性結構,該等輔助元件與該等經替換元件具有至少一個幾何差異。在與高階多重圖案化技術之相容性界限內建構目標會改良目標之保真度,且填充因數調變會使得能夠對目標進行調整以產生足以在達成該等目標之製程相容性之同時提取疊對之計量敏感度。

Description

複合週期性目標結構
本發明係關於計量領域,且更特定而言,係關於疊對計量目標設計。
疊對計量結構面臨著一方面滿足計量敏感度且另一方面滿足可製造性或製程相容性之衝突要求之需要。對應地,如一圖解說明,圖1A示意性地呈現根據先前技術之相對於目標結構之分段大小目標設計之敏感度與製程相容性之間的折衷。
通常,整合方案之最小節距顯著地小於針對計量感測器最佳化之計量結構之所謂的粗略節距。通常根據針對一給出照明波長需要藉由感測器收集光學器件收集至少兩個繞射級之需求來設定粗略節距之下界。此外,在諸多情形中,最小照明波長受計量目標之當前層光柵與先前層光柵之間的中間層在短波長下之不透明度約束。舉例而言,最小可列印微影節距可係100nm而疊對目標之粗略節距可係大約2000nm。
用以達成製程相容性之習用方法係將分段之大小(計量目標之精細節距)降低至特定層之最小設計規則特徵之域。此方法中存在一固有折衷,此乃因隨著該分段大小接近其製造製程已經最佳化之最小設計規則之分段 大小,敏感度減小至其中感測器無法在不確定性之合理界限內提取疊對之一位準。已建議各種先前技術目標設計來鑒於此固有折衷來最佳化目標,諸如目標結構之平行、正交或甚至對角線分段,如分別在圖1B圖1C圖1D中所繪示。
在最近的半導體製造節點中,作為極UV(紫外線)或其他高階圖案化方案之可行及重要替代方案,已出現自對準雙重及四重圖案化以達成越來越密集且越來越小之特徵。在此等方法中,藉由複雜整合方案啟用之列印越來越緊密節距之能力對可及無法列印具有極其嚴格限制。
圖1B圖1C圖1D分別示意性地圖解說明根據先前技術之目標結構之平行、正交及對角線分段方案90圖1B圖1C圖1D將分段方案90(間隔件輔助四重圖案化平行分段)圖解說明為GDS遮罩方案91及晶圓上方案92。目標元件包括具有一精細分段節距76及一粗略分段節距95之分段元件70,其中間隔94在由目標元件構成之毗鄰分段結構之間。目標結構包括在其之間具有間隙73之此等分段結構71,分段結構71及間隙73係列印為對應結構97及間隙96。然而,此等先前技術方法通常(例如)由於SADP(自對準雙重圖案化)或SAQP(自對準四重圖案化)整合方案而導致多重圖案化方案中之設計規則違反。
由於量測工具(使用可見光)之光學限制,必須維持條97之間的一最小間隔96,以符合光學解析度且誘發線與間隔之間的對比度。大間隔96可影響目標之製程相容性,因此降低目標效能及裝置-目標相似度。為克服此問題,引入CMP(化學機械製程)輔助特徵,此危及與對比度之製程相容性。
圖1E圖1F示意性地圖解說明根據先前技術之具有CMP輔助特徵72 之分段方案90圖1E圖1F將分段方案90(間隔件輔助四重圖案化平行分段)圖解說明為GDS遮罩方案91及晶圓上方案92。CMP輔助特徵72係駐存於間隔73中從而降低有效間隔(無特徵之區域)之分段特徵。為維持條71與間隔73之間的區別,CMP輔助特徵72之分段與條71之分段在設計上固有地不同。差異可包含不同分段定向(圖1E中所示意性地圖解說明)及與設計規則(大約最小設計規則之兩倍)之有意不相容(圖1F中所示意性地圖解說明)(節距94C>>節距76)。另外,由於微影製程之限制(為避開接近效應問題損壞可印刷性),與最小分段間隔不同的CMP輔助特徵72之間的間隔94B以及間隔94A係維持在分段元件70與CMP輔助元件72之間(通常間隔94A>>節距76)。
以下係提供本發明之一初始理解之一經簡化發明內容。該發明內容未必識別關鍵元件亦不限制本發明之範疇,而是僅僅充當對以下說明之一介紹。
本發明之一項態樣提供一種目標設計方法,該目標設計方法包括藉由以輔助元件替換來自一週期性圖案、具有一節距p之元件來定義目標元件以形成將該節距p維持為一單個節距之一複合週期性結構,該等輔助元件與該等經替換元件具有至少一個幾何差異。
在以下之詳細說明中闡明、有可能可自詳細說明推斷及/或可藉由實踐本發明獲悉本發明之此等、額外及/或其他態樣及/或優點。
60:元件
60A:元件
60B:元件
60C:元件
64:臨界尺寸
65:節距
70:分段元件/元件/循環元件/目標元件
70A:元件
70B:邊緣線/邊緣元件
71:分段結構/條/分段/目標元件/目標結構
72:化學機械製程輔助特徵/化學機械製程輔助元件
73:間隙/間隔
74:臨界尺寸/目標元件臨界尺寸
74A:臨界尺寸
75:節距/精細節距/微影節距/微影裝置節距/微影裝置節距
75A:節距
76:精細分段節距/節距/間隙
77A:最小開放間隔寬度/開放間隔
77B:最小開放間隔寬度/開放間隔
80:週期性結構/週期性圖案/裝置/設計/裝置影像輪廓
80A:裝置遮罩/遮罩
90:平行、正交及對角線分段方案/分段方案/分段週期性結構/先前技術設計/標準AIM目標設計/AIM目標/間隔件輔助四重圖案化平行分段
90A:標準AIM目標遮罩/遮罩
91:GDS遮罩方案/先前技術設計
92:晶圓上方案
94:間隔/間隙
94A:間隔
94B:間隔
94C:節距
95:粗略分段節距
96:間隙/間隔
97:結構/條
100:複合週期性目標結構設計/目標設計/複合週期性結構/結構/所得複合週期性結構/設計/目標結構/填充因數調變+次解析度輔助特徵目標/目標/遮罩圖案
100A:填充因數調變與次解析度輔助特徵目標設計遮罩/遮罩
102:所得目標/經列印圖案
103:間隙
103A:粗略間隔
104:間隙/間隔
105:輔助元件/結構/次解析度輔助特徵元件/次解析度輔助特徵輔助元件
106:粗略節距
106A:基礎單元
107:粗略線
107A:單元
108:間隙
109:目標元件
114:較小輔助元件臨界尺寸
200:目標設計方法/方法
220A:中間結構/填充因數調變目標/設計/填充因數調變圖案
220B:填充因數調變目標遮罩/遮罩
為更好地理解本發明之實施例及展示可如何實施本發明,現在將僅以實例方式參考附圖,在附圖通篇中,相似元件符號指示對應元件或區 段。
在附圖中:圖1A示意性地呈現根據先前技術之相對於目標結構之分段大小目標設計之敏感度與製程相容性之間的折衷。
圖1B、圖1C圖1D分別示意性地圖解說明根據先前技術之目標結構之平行、正交及對角線分段方案。
圖1E圖1F示意性地圖解說明根據先前技術之具有CMP輔助特徵之分段方案。
圖2A圖2B係根據本發明之某些實施例之由目標設計方法導出之複合週期性目標結構設計之高階示意性圖解說明。
圖2C係根據本發明之某些實施例之精細節距選擇之一高階示意性圖解說明。
圖3A至圖3D係根據本發明之某些實施例之裝置、先前技術目標及所揭示目標設計之間的比較之示意性圖解說明。
圖4係圖解說明根據本發明之某些實施例之一方法之一高階流程圖。
相關申請案交叉參考
本申請案主張於2016年4月4日提出申請之美國臨時專利申請案第62/318,086號之權益,該美國臨時專利申請案以其全文引用方式併入本文中。
在陳述詳細說明之前,陳述將在下文中使用之特定術語之定義可係有幫助的。
如本申請案中所使用之術語「元件」、「目標元件」及「輔助元 件」係指計量目標(尤其係成像目標)在其製作階段中之任一者處之部分,諸如設計階段、倍縮光罩、抗蝕劑、各種生產步驟及所得目標。通常,計量目標包括具有本發明所涉及之重複元件之週期性結構。舉例而言,目標元件可係在用於將目標設計轉印至晶圓之倍縮光罩上。明顯地注意到,雖然目標之實體特性在各種製作階段處不同,但所揭示之設計原理及所得結構並不限於任何特定生產步驟,且可關於由所使用微影技術連結之生產步驟中之任一者應用。應強調,類似設計原理及目標元件設計可用於散射量測目標,且所揭示之發明在具有目標設計之適當修改之情況下可適用於散射量測目標以及適用於成像目標。
在以下說明中,闡述本發明之各種態樣。出於闡釋之目的,陳述特定組態及細節以便提供對本發明之一透徹理解。然而,熟習此項技術者亦將明瞭,可在本文中不呈現特定細節之情況下實踐本發明。此外,眾所周知之特徵可已被省略或簡化以便不模糊本發明。具體參考圖式,應強調,所展示細節係僅以實例方式且出於說明性論述本發明之目的,並且係為了提供認為最有用之內容以及為了使本發明之原理及概念態樣之闡述易於理解而提出。就此而言,未嘗試比基本理解本發明所必需的更詳細地展示本發明之結構細節,結合圖式進行之說明使熟習此項技術者明瞭可如何在實踐中體現本發明之數種形式。
在詳細地闡釋本發明之至少一項實施例之前,應理解,本發明在其應用上並不限於在以下闡述中所闡明或在以下圖式中所圖解說明之構造之細節及組件之配置。本發明適用於可以各種方式實踐或實施之其他實施例以及適用於所揭示之實施例之組合。並且,應理解,本文中所採用之措辭及術語係出於闡述目的且不應被視為具有限制性。
除非如自以下之論述顯而易見另有具體陳述,否則應瞭解,在本說明書通篇中,利用諸如「處理」、「計算」、「運算」、「判定」、「增強」或諸如此類之術語進行之論述係指一電腦或計算系統或者類似電子計算裝置之動作及/或製程:將計算系統之暫存器及/或記憶體內之表示為實體(諸如電子)數量之資料操縱及/或變換成計算系統記憶體、暫存器或其他此類資訊儲存、傳輸或顯示裝置內之類似地表示為實體數量之其他資料。
本發明之實施例提供用於改良且最佳化計量目標設計之高效且經濟方法及機制並且藉此提供對計量技術領域之改良,尤其係提供最佳化量測準確度與目標可印刷性之間的折衷之疊對成像及/或散射量測計量目標。
發明者已發現,可藉由在與諸如自對準雙重及四重圖案化(分別係SADP及SAQP)之較高階圖案化技術(然而其不應理解為限制本發明)之相容性之界限內較嚴格地建構目標來改良疊對計量目標之保真度。可藉由基於製程相容性之填充因數調變來改良此相容性。相對於先前技術(例如,如上文圖1B至圖1F中所圖解說明),所揭示之實施例提供計量目標設計,該等計量目標設計保持在此等複雜整合方案之界限內但仍產生足以在不確定性之合理界限內啟用疊對之提取之計量敏感度。
圖2A圖2B係根據本發明之某些實施例之由目標設計方法200導出之複合週期性目標結構設計100之高階示意性圖解說明。圖2A圖2B將間隔件輔助四重圖案化填充因數調變(FFM)分段方案示意性地圖解說明為一明場遮罩,其中元件70表示經列印元件。
方法200自由處於一節距75(節距p,例如,以100nm之尺度)下之重複元件70構成之一週期性結構80(表示一泛用裝置設計)開始,此定義一基礎網格(具有一臨界尺寸(CD)74之元件70)。此開始點與如圖1B至圖1F 中所圖解說明之先前技術不同,先前技術自分段週期性結構90開始且旨在填充分段71之間的間隙94。相比而言在本發明中,藉由以輔助元件105替換來自週期性圖案80之元件70來定義目標元件71且達成目標設計100以形成將節距75(相同節距p)維持為結構105之一單個節距之一複合週期性結構100,輔助元件105與經替換元件具有至少一個幾何差異。
替換可視為包括以下階段:自將成為之間隙103移除元件70中之至少某些、藉此在中間結構220A中產生大於節距75之間隙76之一階段220;及利用輔助元件105填充間隙76以在結構100中將節距75重新確立為單個節距p之一階段230。因此,目標元件71包括具有相同幾何特徵且處於節距p下之元件70之區,而間隙104包括具有不同幾何特徵同時維持相同節距p之輔助元件105之區。如圖2B中所圖解說明,所得複合週期性結構100具有如提供粗略線107之單元107A之目標元件71及以一粗略節距106(例如,以2000nm之尺度)循環之一基礎單元106A,該粗略節距足夠大提供光學解析度,同時精細節距75提供可印刷性。元件70與輔助元件105之間的不同幾何特徵可係臨界尺寸(CD),例如,輔助元件105可窄於元件70且具有小於目標元件CD 74之一較小輔助元件CD 114
所得目標102具有與間隙108充分相異之目標元件109且因此(為粗略線107且處於粗略節距106下)提供所需光學解析度,同時目標設計100之節距p遵從設計規則以避開由列印問題引起之不準確性。
包括相差至少一個幾何特徵且以單個節距p定位以形成複合週期性目標結構之目標元件71與輔助元件105之複合週期性目標結構設計100可用作全尺度計量目標設計之建立區塊,該全尺度計量目標設計使用設計100來替換先前技術設計9091中之某些或所有(如在圖2B中藉由一標準AIM 較高階成像計量目標設計所例示)。
本發明之實施例克服先前技術之缺點。所圖解說明之實施例通常係以一非限制性方式關於自對準雙重及四重圖案化(分別係SADP及SAQP)闡述且亦可應用於其他整合方案。本發明揭示填充因數調變作為光學解析度(需要2000nm尺度之一粗略節距)對目標可印刷性(需要100nm尺度之一精細節距)之折衷問題之一新的解決方案。本發明揭示遵循處於精細節距75下之裝置分段格構(或與光微影及製造製程相容之一預定義週期性)之一高密度架構設計,其中將循環元件70中之某些移除(或完全地移除)或以SRAF(次解析度輔助特徵)元件105替換。可最佳化每目標之經移除元件之數目及位置以用於特定目標堆疊。
圖2C係根據本發明之某些實施例之精細節距選擇之一高階示意性圖解說明。可根據各別圖案化製程選擇節距75,且特定而言,SRAF元件105至遮罩之引入允許以裝置節距75支援遮罩圖案100之週期性,此降低經列印圖案102與裝置之間的由於掃描器異常之偏移。
在特定實施例中,目標結構100可包括經組態以在對準時共用一公共對稱中心之多個目標結構71,其中每一目標結構71每個方向包括至少兩個圖案元件。圖案元件包括約束於與特定圖案化製程之週期性一致之一分段網格上之位置之特徵。所呈現特徵對不存在之特徵之填充因數可經調整以形成可由一計量感測器偵測之一週期性之對比。
來自圖2A至圖2C之元件可組合於任一可操作組合中,且特定圖中而非其他圖中之特定元件之圖解說明僅僅用於一闡釋性目的且係非限制性的。
根據所建議方法,用於雙重及四重圖案化之最小開放間隔寬度係在 以下非限制性實例中定義。在雙重圖案化之情形中,針對90nm之微影節距75最小開放間隔寬度77A係3.45nm-15nm=120nm,而針對四重圖案化,最小開放間隔寬度77B係5.22.5nm-7nm
Figure 106110992-A0305-02-0011-1
105nm。此最小間隔寬度可藉由在粗略節距106內使用非週期性分段而進一步降低。留在經列印圖案中之開放間隔77A77B係目標對比與目標之製程相容性之間的一折衷。極化或暗場計量或者其他對比增強光學方案之使用可允許降低低於微影裝置節距75之開放間隔(77A77B)之最大寬度。
圖3A至圖3D係根據本發明之某些實施例之裝置、先前技術目標及所揭示目標設計之間的比較之示意性圖解說明。該比較以一非限制性方式圖解說明所揭示目標設計100之優點及用以關於上文所闡述之折衷最佳化目標設計100之方式。圖3A示意性地圖解說明各別裝置遮罩80A(對應於裝置80)、標準AIM目標遮罩90A(對應於標準AIM目標90)、FFM目標元件遮罩220B(對應於FFM目標220A)及FFM與SRAF目標設計遮罩100A(對應於FFM與SRAF目標設計100)。遮罩示意性地表示通常用於疊對目標之暗場遮罩,其中元件6060A60B60C表示遮罩之倍縮光罩中之遮光(例如,鉻)區。
圖3A係根據本發明之某些實施例之一目標設計測試中使用之例示性裝置遮罩80A、標準AIM目標遮罩90A、填充因數調變(FFM)目標遮罩220B及FFM與SRAF目標設計遮罩100A之一高階示意性圖解說明。在與圖2A圖2B中所圖解說明之元件70、節距75及CD 74對應之位置中示意性地圖解說明元件70A、節距75A及CD 74A。亦示意性地圖解說明各別遮罩80A90A220B100A之對應元件60、節距65及CD 64以及目標元件71及間隙103104
作為例示性非限制性尺寸,裝置節距75可係100nm且裝置CD可係50nm。在目標設計100中,粗略節距106可係1700nm、粗略線107(例如,12個目標元件70)可係1150nm且粗略間隔103A可係650nm,從而導致改良可印刷性及圖案定位誤差(PPE)兩者之一粗略線(107):粗略間隔(103A)(L:S)比率=1.77。在特定實施例中,對於明場或暗場遮罩設計,L:S比率大於(L:S>1)可用於改良可印刷性及PP。
圖3B係根據本發明之某些實施例之圖3A中所呈現之目標遮罩設計之一空中影像效能測試之一高階示意性圖解說明。使用具有一暗場二元遮罩及錨定於裝置上之正性色調顯影之一最佳化偶極X照明及使用Y極化展示設計8090220A100之空中影像之一比較。發明者指出,相對於AIM目標90及FFM目標220A,FFM+SRAF目標100提供最佳地與裝置80匹配且亦具有最大製程窗口之一空中影像。成像效能之差異在邊緣線70B處尤其顯著,此對成像效能特別重要。
圖3C係根據本發明之某些實施例之圖3A中所呈現之目標設計之一抗蝕劑成像效能測試之一高階示意性圖解說明。發明者指出,相對於AIM目標90及FFM目標220A,FFM+SRAF目標100提供最佳邊緣線可印刷性,與圖3B中所呈現之結果一致。圖3C例示在自AIM目標90經過FFM目標220A前進至FFM+SRAF目標100時之成像效能之改良,此乃因所得目標影像輪廓變得較接近於裝置影像輪廓80(此乃因藉由設計,與疊對目標相比裝置80具有較佳微影效能)。
圖3D係根據本發明之某些實施例之圖3A中所呈現之目標設計之掃描器之出射光瞳之繞射比較之一高階示意性圖解說明。發明者指出,相對於AIM目標90及FFM目標220A,FFM+SRAF目標100提供降低PPE偏差之 較佳光瞳匹配結果(由一寬箭頭圖解說明),此乃因其提供最大強度(影像之頂部線)及繞射信號之一最類似形狀(影像之底部線)。
在特定實施例中,間隔104及/或節距75可經調整以避開一禁用微影節距。由多個複合週期性結構100構成之計量疊對成像目標中,間隔104及/或節距75可經調節以獲得目標關於一模型裝置在繞射圖案(例如,裝置80圖3D中所示意性地圖解說明之繞射圖案)上之一緊密匹配。在特定實施例中,經組態以獲得目標關於一模型裝置在繞射圖案(例如,裝置80圖3D中所示意性地圖解說明之繞射圖案)上之一緊密匹配之SRAF輔助元件105可新增複合週期性結構100。可使用微影模擬來設計(例如)圖3B圖3C中所圖解說明之邊緣元件70B之目標元件71之邊緣放置。
圖4係根據本發明之某些實施例之圖解說明一方法200之一高階流程圖。可關於上文所闡述之目標結構100實施方法階段,該等方法階段可視情況經組態以實施方法200。方法200可至少部分地藉由(例如)一計量目標設計模組中之至少一個電腦處理器而實施。某些實施例包括包含一電腦可讀儲存媒體之電腦程式產品,該電腦可讀儲存媒體體現有電腦可讀程式,且該電腦可讀程式經組態以執行方法200之相關階段。特定實施例包括由方法200之實施例設計之各別目標之目標設計檔案。
方法200可包括藉由以輔助元件替換來自具有一節距p之一週期性圖案之元件來定義目標元件以形成將該節距p維持為一單個節距之一複合週期性結構,該等輔助元件與該等經替換元件具有至少一個幾何差異(階段210)。該至少一個幾何差異可係一臨界尺寸。
方法200可包括自一基於裝置之週期性圖案刪除元件(階段220)、引入輔助元件以恢復精細節距(階段230)及視情況對輔助元件進行設計以最 佳化目標元件之可印刷性及光學解析度(階段240)。
方法200可包括調整間隔及/或精細分段以避開一禁用微影節距(階段250)及/或調整間隔及/或精細分段以獲得裝置與目標在繞射圖案上之一緊密匹配(階段255)。
方法200可包括新增SRAF元件以獲得裝置與目標在繞射圖案上之一緊密匹配(階段260)。方法200可包括使用微影模擬來設計精細分段之邊緣放置(階段270)。
方法200可進一步包括依據複合週期性結構來設計成像目標(階段280)以及產生及/或量測該等目標(階段290)。方法200可包括使用電腦處理器執行該等階段中之任一者(階段295)。方法200可包括由以其全文引用方式併入本文中之美國專利第7,925,486號教示之階段,該美國專利揭示電腦實施方法、載體媒體及用於形成用於一倍縮光罩佈局之一計量目標結構設計之系統。應注意,可使用所揭示之原理及所揭示之目標元件來設計疊對散射量測目標,且同樣視為本發明之部分。
某些實施例包括目標100及/或由方法200設計之目標進行之計量量測,可能地,使用偏振照明採取之量測。
有利地,歸因於將目標中之所有元件之位置約束至分段網格,目標100及方法200可提供經改良可印刷性。在提供足夠間隔以在增加FFM圖案220A之CD之後新增SRAF元件105之實施例中達成此優點。應強調,粗略節距與精細節距之間的可公度性之此條件係非限制性的。歸因於將所有元件約束至裝置臨界尺寸,目標100及方法200可提供經改良製程相容性,同時維持晶圓上特徵之間的最小間隔。歸因於所提議目標結構對各種圖案相依(諸如密度、L/S尺寸)製程步驟(微影、蝕刻、CMP、可能地甚至 沈積)之類裝置回應,目標100及方法200可提供經改良目標與裝置之匹配(最小化目標與裝置之偏移)。
上文參考根據本發明實施例之方法、設備(系統)及電腦程式產品之流程圖圖解及/或部分圖式來闡述本發明之態樣。將理解,流程圖圖解說明及/或部分圖式之每一部分以及流程圖圖解說明及/或部分圖式中之部分之組合皆可由電腦程式指令來實施。可將此等電腦程式指令提供至一通用電腦、專用電腦或其他可程式化資料處理設備之一處理器以產生一機器,使得經由電腦或其他可程式化資料處理設備之處理器而執行之該等指令形成用於實施流程圖及/或部分圖式或者其部分中所規定之功能/動作之手段。
亦可將此等電腦程式指令存儲於一電腦可讀媒體中,該電腦可讀媒體可引導一電腦、其他可程式化資料處理設備或其他裝置以一特定方式起作用,使得存儲於該電腦可讀媒體中之指令產生包含實施流程圖及/或部分圖式或者其部分中所指定之功能/動作之指令之一製品。
亦可將該等電腦程式指令載入至一電腦、其他可程式化資料處理設備或其他裝置上以致使在該電腦、其他可程式化設備或其他裝置上執行一系列操作步驟,以產生一電腦實施製程,使得在該電腦或其他可程式化設備上執行之指令提供用於實施流程圖及/或部分圖式或者其部分中所規定之功能/動作之製程。
前述流程圖及圖式圖解說明根據本發明之各種實施例之系統、方法及電腦程序產品之可能實施方案之架構、功能性及操作。就此而言,流程圖或部分圖式中之每一部分可表示模組、分段或代碼部分,其包括用於實施所規定邏輯功能之一或多個可執行指令。亦應注意,在某些替代實施方案中,部分中所標注之功能可不以圖中所標注之次序發生。舉例而言,事 實上,可取決於所涉及之功能性,大致同時執行連續展示之兩個部分,或有時可按相反次序執行該等部分。亦應注意,部分圖式及/或流程圖圖解說明之每一部分及部分圖式及/或流程圖圖解說明中之部分之組合可由執行規定功能或動作之基於專用硬體之系統或專用硬體與電腦指令之組合來實施。
在上文之說明中,一實施例係本發明之一實例或實施方案。「一項實施例」、「一實施例」、「特定實施例」或「某些實施例」之各種出現未必全部係指相同實施例。儘管可在一單個實施例之內容脈絡中闡述本發明之各個特徵,但該等特徵亦可單獨地或以任何適合組合形式提供。相反地,儘管本文為了清晰起見可在單獨實施例之內容脈絡中闡述本發明,但亦可在一單個實施例中實施本發明。本發明之特定實施例可包含來自上文揭示之不同實施例之特徵,且某些實施例可併入有來自上文揭示之其他實施例之元件。在一特定實施例之內容脈絡中對本發明之元件之揭示並不視為限制其僅用於特定實施例。此外,應理解,可以各種方式執行或實踐本發明且可在除了上文闡述中概述之實施例之外的特定實施例中實施本發明。
本發明並不限於彼等圖式或對應說明。舉例而言,流程不必移動穿過每一所圖解說明之方框或狀態,或以與所圖解說明及闡述完全相同之次序。除非另外定義,否則本文所使用之技術及科學術語之意義通常將如本發明所屬技術中之熟習此項技術者所理解。雖然已關於有限數目個實施例闡述了本發明,但此等實施例不應被視為對本發明之範疇之限制,相反係較佳實施例中之某些較佳實施例之例證。其他可能之變化形式、修改形式及應用亦在本發明之範疇內。因此,本發明之範疇應不受至此已闡述之內 容限制,而受隨附申請專利範圍及其法定等效形式限制。

Claims (5)

  1. 一種複合週期性目標結構,其包括:一晶圓;複數個目標元件,位於該晶圓上;以及複數個輔助元件,位於該晶圓上且在該等目標元件之間,其中該等輔助元件與該等目標元件相差至少一個幾何特徵,其中該等目標元件與該等輔助元件係以一單個節距p定位,從而調整該晶圓之一填充因數,以最佳化該等目標元件或該等輔助元件與可藉由一計量感測器偵測到之該晶圓之間之一對比度,且其中該至少一個幾何特徵係一臨界尺寸;其中對於該晶圓上之一面積,該填充因數係該等目標元件或該等輔助元件所佔之一面積與該晶圓上之該面積的一比率。
  2. 如請求項1之複合週期性目標結構,其中該等目標元件之間的間隔及/或該節距p避開一禁用微影節距。
  3. 如請求項1之複合週期性目標結構,其中使用微影模擬來設計該等目標元件之邊緣放置。
  4. 如請求項1之複合週期性目標結構,其中該等目標元件之間的間隔及/或該節距p獲得該複合週期性目標結構關於一模型裝置在繞射圖案上之一緊密匹配。
  5. 如請求項1之複合週期性目標結構,其中該等輔助元件中之至少一者經組態以獲得該複合週期性目標結構關於一模型裝置在繞射圖案上之一緊密匹配。
TW106110992A 2016-04-04 2017-03-31 複合週期性目標結構 TWI710860B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662318086P 2016-04-04 2016-04-04
US62/318,086 2016-04-04
??PCT/US16/60626 2016-11-04
WOPCT/US16/60626 2016-11-04
PCT/US2016/060626 WO2017176314A1 (en) 2016-04-04 2016-11-04 Process compatibility improvement by fill factor modulation

Publications (2)

Publication Number Publication Date
TW201800873A TW201800873A (zh) 2018-01-01
TWI710860B true TWI710860B (zh) 2020-11-21

Family

ID=60001339

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110992A TWI710860B (zh) 2016-04-04 2017-03-31 複合週期性目標結構

Country Status (8)

Country Link
US (1) US10579768B2 (zh)
EP (1) EP3440511B1 (zh)
JP (1) JP6952711B2 (zh)
KR (1) KR20180123156A (zh)
CN (1) CN109073981B (zh)
IL (1) IL261879B (zh)
TW (1) TWI710860B (zh)
WO (1) WO2017176314A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110312966B (zh) * 2017-02-10 2022-03-25 科磊股份有限公司 与散射测量术测量中的光栅非对称相关的不精确性的减轻
JP2020529621A (ja) 2017-06-06 2020-10-08 ケーエルエー コーポレイション レティクル最適化アルゴリズム及び最適ターゲットデザイン
US10628544B2 (en) * 2017-09-25 2020-04-21 International Business Machines Corporation Optimizing integrated circuit designs based on interactions between multiple integration design rules
US20190250504A1 (en) * 2017-10-23 2019-08-15 Kla-Tencor Corporation Reduction or elimination of pattern placement error in metrology measurements
EP3853665B1 (en) * 2018-10-30 2023-12-20 Kla-Tencor Corporation Estimation of asymmetric aberrations
CN113439240A (zh) * 2019-02-19 2021-09-24 Asml控股股份有限公司 量测系统、光刻设备和方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020131055A1 (en) * 2001-01-29 2002-09-19 Xinhui Niu Method and apparatus for the determination of mask rules using scatterometry
US20070082277A1 (en) * 2005-10-07 2007-04-12 Advanced Micro Devices, Inc. Process margin using discrete assist features
TW201527901A (zh) * 2013-12-17 2015-07-16 Asml Netherlands Bv 檢查方法、微影裝置、光罩及基板
US20160061589A1 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303252B1 (en) * 1999-12-27 2001-10-16 United Microelectronics Corp. Reticle having assist feature between semi-dense lines
TW512424B (en) * 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
TW479157B (en) 2000-07-21 2002-03-11 Asm Lithography Bv Mask for use in a lithographic projection apparatus and method of making the same
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
SG108975A1 (en) 2003-07-11 2005-02-28 Asml Netherlands Bv Marker structure for alignment or overlay to correct pattern induced displacement, mask pattern for defining such a marker structure and lithographic projection apparatus using such a mask pattern
SG111289A1 (en) * 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
JP2007522432A (ja) * 2003-12-19 2007-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 差動限界寸法およびオーバーレイ測定装置および測定方法
JP4634849B2 (ja) * 2005-04-12 2011-02-16 株式会社東芝 集積回路のパターンレイアウト、フォトマスク、半導体装置の製造方法、及びデータ作成方法
US7925486B2 (en) 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR100880232B1 (ko) 2007-08-20 2009-01-28 주식회사 동부하이텍 미세 마스크 및 그를 이용한 패턴 형성 방법
JP2009109581A (ja) * 2007-10-26 2009-05-21 Toshiba Corp 半導体装置の製造方法
JP5529391B2 (ja) * 2008-03-21 2014-06-25 ルネサスエレクトロニクス株式会社 ハーフトーン型位相シフトマスク、そのハーフトーン型位相シフトマスクを有する半導体装置の製造装置、およびそのハーフトーン型位相シフトマスクを用いた半導体装置の製造方法
JP5627394B2 (ja) * 2010-10-29 2014-11-19 キヤノン株式会社 マスクのデータ及び露光条件を決定するためのプログラム、決定方法、マスク製造方法、露光方法及びデバイス製造方法
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
WO2015009619A1 (en) * 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
WO2015109036A1 (en) * 2014-01-15 2015-07-23 Kla-Tencor Corporation Overlay measurement of pitch walk in multiply patterned targets
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR102477933B1 (ko) * 2015-12-17 2022-12-15 에이에스엠엘 네델란즈 비.브이. 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020131055A1 (en) * 2001-01-29 2002-09-19 Xinhui Niu Method and apparatus for the determination of mask rules using scatterometry
US20070082277A1 (en) * 2005-10-07 2007-04-12 Advanced Micro Devices, Inc. Process margin using discrete assist features
TW201527901A (zh) * 2013-12-17 2015-07-16 Asml Netherlands Bv 檢查方法、微影裝置、光罩及基板
US20160061589A1 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate

Also Published As

Publication number Publication date
US10579768B2 (en) 2020-03-03
US20180157784A1 (en) 2018-06-07
IL261879A (en) 2018-10-31
KR20180123156A (ko) 2018-11-14
WO2017176314A1 (en) 2017-10-12
CN109073981A (zh) 2018-12-21
EP3440511A1 (en) 2019-02-13
CN109073981B (zh) 2021-09-24
EP3440511B1 (en) 2024-03-06
JP6952711B2 (ja) 2021-10-20
IL261879B (en) 2021-01-31
TW201800873A (zh) 2018-01-01
JP2019517017A (ja) 2019-06-20
EP3440511A4 (en) 2019-12-18

Similar Documents

Publication Publication Date Title
TWI710860B (zh) 複合週期性目標結構
US7475383B2 (en) Method of fabricating photo mask
US8037429B2 (en) Model-based SRAF insertion
US7424699B2 (en) Modifying sub-resolution assist features according to rule-based and model-based techniques
US8056029B2 (en) Merging sub-resolution assist features of a photolithographic mask
US20160124299A1 (en) Transmission balancing for phase shift mask with a trim mask
US20090061362A1 (en) Semiconductor device manufacturing method using double patterning and mask
CN103064257B (zh) 用于光刻中的照明光源形状定义的方法、系统和器件
US20090125870A1 (en) System and method for making photomasks
TWI427498B (zh) 使用逆成像法之模型為基的協助特性置放
US9753364B2 (en) Producing resist layers using fine segmentation
US7461367B2 (en) Modifying merged sub-resolution assist features of a photolithographic mask
US7930654B2 (en) System and method of correcting errors in SEM-measurements
CN113589644A (zh) 基于亚分辨率辅助图形种子插入的曲线型逆向光刻方法
US20070231711A1 (en) System and method for making photomasks
US8910090B2 (en) Methods involving pattern matching to identify and resolve potential non-double-patterning-compliant patterns in double patterning applications
US7229722B2 (en) Alternating phase shift mask design for high performance circuitry
Sakajiri et al. Model-based SRAF insertion through pixel-based mask optimization at 32nm and beyond
Hendrickx et al. Hyper-NA imaging of 45nm node random CH layouts using inverse lithography
Meiring et al. ACLV driven double-patterning decomposition with extensively added printing assist features (PrAFs)
US20070082276A1 (en) Notched trim mask for phase shifting mask
Shang et al. Model-based insertion and optimization of assist features with application to contact layers
US7062748B2 (en) System and method of correcting mask rule violations after optical proximity correction
CN116266407A (zh) 使用深度神经网络的基于图像的半导体器件图案化方法