JP2017536248A - 3次元集積回路(3d ic)集積化のためのマイクロ電気機械システム(mems)結合剥離構造およびウェハ移載の方法 - Google Patents

3次元集積回路(3d ic)集積化のためのマイクロ電気機械システム(mems)結合剥離構造およびウェハ移載の方法 Download PDF

Info

Publication number
JP2017536248A
JP2017536248A JP2017514278A JP2017514278A JP2017536248A JP 2017536248 A JP2017536248 A JP 2017536248A JP 2017514278 A JP2017514278 A JP 2017514278A JP 2017514278 A JP2017514278 A JP 2017514278A JP 2017536248 A JP2017536248 A JP 2017536248A
Authority
JP
Japan
Prior art keywords
layer
stage
mems
ild
integrated circuits
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017514278A
Other languages
English (en)
Other versions
JP2017536248A5 (ja
Inventor
ジェ−シュン・ジェフリー・ラン
ウェンユエ・ジャン
ヤン・ドゥ
ヨン・ジュ・イ
シーチュン・グ
ジン・シエ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2017536248A publication Critical patent/JP2017536248A/ja
Publication of JP2017536248A5 publication Critical patent/JP2017536248A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76254Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques with separation/delamination along an ion implanted layer, e.g. Smart-cut, Unibond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68318Auxiliary support including means facilitating the separation of a device or wafer from the auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68363Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving transfer directly from an origin substrate to a target substrate without use of an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Geometry (AREA)
  • Micromachines (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Pressure Sensors (AREA)

Abstract

2つ以上の段を備える3次元集積回路(3D IC)デバイスを製造するためのマイクロ電気機械システム(MEMS)結合剥離構造が提供される。MEMS結合剥離構造は、結合および剥離のために、ピラーまたはポスト構造を有する場合があるか、代替的には連続犠牲層を有する場合があるMEMS犠牲剥離層を含む。

Description

本明細書において説明される種々の実施形態は、3次元集積回路(3D IC)デバイスに関し、より詳細には、マイクロ電気機械システム(MEMS)結合剥離構造を使用する3D ICスタッキングに関する。
集積回路を複数の段に積重することによる3次元回路集積化により、回路設計者は、ムーアの法則のスケーリング限界を超えて、改善された電力、性能、面積およびコスト(PPAC)の利益を達成できるようになる。回路、段間リンクおよびビアに関してより高い密度を達成するために、ワイヤボンド、フリップチップボンド、スルーシリコンビア(TSV:through−silicon via)およびシリコンインターポーザ技法などのシリコンインパッケージ(SiP)3D ICスタッキング方式を含む、3次元集積回路(3D IC)スタッキングの種々の方式が開発されてきた。多段スタッキングを伴う3D ICは、スマートフォンおよび他のモバイルデバイスのような、フォームファクタ要件が厳しいデバイスにおいて望ましい。従来のSiP 3D ICスタッキング方式に加えて、シーケンシャルモノリシック3D IC(sM3DIC)技術も開発されてきた。sM3DICでは、単結晶半導体層が、完成した下段相補型金属酸化膜半導体(CMOS)ウェハ上に順次に集積および結合され、その後、その上に上段CMOSが構築される。
sM3DIC技術は、現在、平方ミリメートルあたり、およそ1,000,000リンクを超える高い段間リンク/ビア密度を伴う、莫大なPPAC利益を達成する可能性を有すると考えられる。しかしながら、sM3DIC技術は、現在、克服される必要があるいくつかの重大なプロセス統合問題に直面しており、克服した後に、商用として実現可能になる可能性がある。そのような問題は、たとえば、上段ソース/ドレイン(S/D)オーミックコンタクトに関する低い熱バジェット/プロセス要件と、チャネル/ウェルドーパント活性化と、S/D再結晶化と、後工程(BEOL:back end−of−line)によって完成した下段ウェハを前工程(FEOL:front end−of−line)に移動させるときの、銅相互接続プロセスに関連する汚染可能性問題とを含むことができる。
別の3D ICスタッキング方式は、パラレルモノリシック3D IC(pM3DIC)と呼ばれ、平方ミリメートルあたり約100,000〜1,000,000リンクの段間リンク/ビア密度を達成できる場合がある。pM3DICでは、バルクシリコンを除去した後に5μm未満の厚さを有する非常に薄い上段ウェハと組み合わせて、0.5μm未満の公差を有する高精度W2W位置合わせプロセスを含む、ウェハ間(W2W)ハイブリッドボンディング(金属間および酸化物間融着)技法が使用される。高精度W2W位置合わせプロセスによって、ランディングパッドサイズを縮小できるようにし、一方、非常に薄い上段ウェハによって、シリコン貫通および酸化物貫通段間ビアのサイズを縮小できるようにし、それにより、段間リンク/ビア密度を高める。
pM3DIC手法は、現在、短い開発期間内で中程度の段間リンク/ビア密度を提供できると考えられるが、重大なプロセス問題が依然として存在する場合がある。たとえば、粗い研削および細かい研削と、その後の化学機械研磨(CMP:chemical−mechanical polish)とを含む、機械的なウェハ背面研削のような、既存のウェハシニング技法を使用することにより、上段ウェハを5μm以下まで薄くすることができるが、バンプ形成ラインにおける機械的な研削プロセス中に、粒子によって引き起こされる応力によりCMOSデバイスにかかる衝撃に起因して、ウェハが25μm以下まで薄くされるときに、CMOSデバイスの特性がドリフトすることがわかっている。さらに、既存の機械的なウェハ研削およびCMP技術では、1μm以下の適当な全厚変動(TTV:total thickness variation)を達成するのは依然として難しい場合がある。
CMOS撮像装置のためのウェハシニングに関する別の手法は、P+エッチストップ層上で選択性ウエットエッチングを利用する。しかしながら、そのような手法は、正確で、均一な層厚を制御するために、欠陥密度を制御するために、そして、残りのCMOSプロセス中にホウ素ドーピング拡散を管理するために、適当なプロセスウィンドウを得ることに関する問題を提起する場合がある。代替的には、シリコンオンインシュレータ(SOI:silicon−on−insulator)ウェハが、「埋め込み酸化物」(BOX:buried oxide)層に至るまで、すなわち、粗い研削および細かい研削、その後のCMP、さらにその後のSiおよびSiOの選択性ウエットエッチングによって処理されるシリコン(Si)層と二酸化シリコン(SiO)層とを含む層に至るまでの、正確なウェハシニングのための許容可能な解決策を提供することができる。SOIウェハは、上段のための開始ウェハとして使用される場合がある。しかしながら、ウェハがバンプ形成ラインを通して機械的研削によって処理されると、ウェハは多くの場合に、金、銀、スズのような重金属、または実際には他の金属で汚染される場合がある。重金属で汚染されると、そのウェハは、ファインピッチ金属層を伴う付加的な背面金属を追加するために実際にはもはやBEOLにおいて処理できなくなるので、相互接続構成の観点から、3D集積化の融通性が失われる。さらに、たとえば、ウェハコスト、材料利用およびスループット配慮などの他の要因が、pM3DIC集積化にとって有利でない場合がある。
例示的な実施形態が、ウェハ移載のためのマイクロ電気機械システム(MEMS)結合剥離構造およびそれを作製する方法と、3次元集積回路デバイス、およびウェハ移載のためのMEMS結合剥離構造を使用することにより3次元集積回路デバイスを作製する方法を対象とする。
一実施形態では、マイクロ電気機械システム(MEMS)結合剥離構造が提供され、その構造は、キャリアウェハと、キャリアウェハ上にあるMEMS犠牲剥離層と、MEMS犠牲剥離層上にある半導体酸化物層と、半導体酸化物層上にあるアクティブ半導体層とを備える。
別の実施形態では、マイクロ電気機械システム(MEMS)結合剥離構造を形成する方法が提供され、その方法は、キャリアウェハを設けることと、キャリアウェハ上にMEMS犠牲剥離層を設けることと、MEMS犠牲剥離層上に半導体酸化物層を設けることと、半導体酸化物層上にアクティブ半導体層を設けることとを含む。
別の実施形態では、3次元集積回路デバイスが提供され、そのデバイスは、基板と、1つまたは複数の金属層および1つまたは複数の層間誘電体(ILD)層を備える第1の段の1つまたは複数の集積回路と、1つまたは複数の金属層および1つまたは複数のILD層を備える第2の段の1つまたは複数の集積回路と、第2の段の1つまたは複数の集積回路内のILD層のうちの少なくとも1つのILD層と接触している第1のBOX層とを備え、第1のBOX層の1つまたは複数の部分、および第2の段の1つまたは複数の集積回路内のILD層のうちの少なくとも1つのILD層の1つまたは複数の部分は、第1のBOX層と、第2の段内のILD層のうちの少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成するために除去される。
さらに別の実施形態では、3次元集積回路デバイスを形成する方法が提供され、その方法は、基板を設けることと、1つまたは複数の金属層および1つまたは複数の層間誘電体(ILD)を備える第1の段の1つまたは複数の集積回路を形成することと、1つまたは複数の金属層および1つまたは複数のILD層を備える第2の段の1つまたは複数の集積回路を形成することと、第2の段の1つまたは複数の集積回路内のILD層のうちの少なくとも1つのILD層と接触している第1のBOX層を形成することと、第1のBOX層と、第2の段内のILD層のうちの少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成することとを含む。
添付の図面は、実施形態の説明を助けるために提示され、実施形態の限定ではなく実施形態の説明のためのみに提供される。
マイクロ電気機械システム(MEMS)結合剥離構造を作製する初期ステップにおける構造の一実施形態を示す、キャリアウェハおよびMEMS犠牲剥離層の断面図である。 MEMS犠牲剥離構造を作製する第2のステップにおける構造の一実施形態を示す、キャリアウェハ、MEMS犠牲剥離層および酸化物層の断面図である。 MEMS犠牲剥離構造を作製する第3のステップにおける構造の一実施形態を示す、キャリアウェハ上の複数のMEMSポストまたはピラーの断面図である。 MEMS犠牲剥離構造を作製するために、図1〜図3に示されるようにキャリアウェハとは別に最初に設けられる、移載基板の一実施形態の断面図である。 移載基板がキャリア基板に結合される前の、図3の構造を備えるキャリア基板の一実施形態を示す断面図である。 キャリア基板に結合される前に上下反転された、図4Aの移載基板の実施形態の断面図である。 図5Aの反転した移載基板の結合を受け入れる準備ができている図4Bのキャリア基板の断面図である。 反転した移載基板がキャリア基板に結合された後の被結合構造の一実施形態の断面図である。 移載基板の別の部分をキャリア基板とともに無傷のままにしながら、キャリア基板から移載基板の一部を分離することを示す一実施形態の断面図である。 移載基板の一部を分離した後のMEMSピラー/ポスト結合剥離構造の断面図である。 完成したMEMSピラー/ポスト結合剥離構造の一実施形態の断面図である。 外側および内側MEMSポストまたはピラーが異なる幅を有する、MEMSポスト/ピラー結合剥離構造の一実施形態の断面図である。 外側および内側MEMSポストまたはピラーが異なる幅を有する、MEMSポスト/ピラー結合剥離構造の一実施形態の平面図である。 連続したMEMS犠牲剥離層を備えるMEMS結合剥離構造の別の実施形態の断面図である。 1つまたは複数のさらなる段の集積回路が段1上に積重される前に準備された、第1の段(段1)の集積回路の断面図である。 図12に示されるような段1の集積回路上に段2が積重される前の、その実施形態が図1〜11を参照しながら先に説明された、MEMS結合剥離構造上に準備された第2の段(段2)の集積回路の断面図である。 段1および段2が結合される前の、図12および図13に示されるような段1および段2の集積回路の位置合わせを示す断面図である。 図14に示されるような段1および段2の集積回路のウェハ間(W2W)結合を示す断面図である。 MEMS結合剥離構造のMEMS犠牲剥離層が除去された後の2段3D ICの実施形態を例示する断面図である。 平滑な上面を形成するためにBOX層上の残りの薄い酸化物層を除去した後の図16の3D ICの断面図である。 BOX層と、段2内のBOX層の直下にある層間誘電体(ILD)層とにビアが形成された後の図17の3D ICの断面図である。 段2内のビアの上方にある付加的な金属層の一部として金属相互接続が形成された後の図18の3D ICの断面図である。 ビアの上方の付加的な金属層上に付加的なILD層が形成された後の図19の3D ICの断面図である。 MEMS結合剥離構造を使用することによって、集積回路の段2および段1が形成され、結合される3段3D ICの一実施形態の断面図である。
本開示の態様は、特定の実施形態を対象とする以下の説明および関連する図面において説明される。本開示の範囲から逸脱することなく、代替実施形態が考案することができる。さらに、本開示の関連する詳細を不明瞭にしないように、よく知られている要素については詳細には説明されないか、または省略される。
「例示的」という単語は、本明細書では、「例、事例、または例示として機能する」ことを意味するために使用される。「例示的」として本明細書で説明するいかなる実施形態も、他の実施形態よりも好ましい、または有利であると必ずしも解釈されるべきでない。同様に、「実施形態」という用語は、すべての実施形態が説明する特徴、利点または動作モードを含むことを要求しない。
本明細書において使用される用語は、特定の実施形態について説明するためのものにすぎず、実施形態を限定するものではない。本明細書で使用される単数形「a」、「an」、および「the」は、文脈が別段に明確に示さない限り複数形を含むことを意図する。本明細書において使用されるときに、「備える(comprises、comprising)」、または「含む(includes、including)」という用語は、述べられた特徴、整数、ステップ、動作、要素、または構成要素の存在を明示するが、1つまたは複数の他の特徴、整数、ステップ、動作、要素、構成要素、またはそれらのグループの存在または追加を除外するものではないことはさらに理解されよう。さらに、「または」という用語は、ブール演算子「OR」と同じ意味を有し、すなわち、「いずれか」および「両方」の可能性を含み、他に明確に言及されない限り、「排他的論理和」(「XOR」)に限定されないことは理解されたい。
図1は、MEMS結合剥離構造を作製する最初のステップにおける構造の実施形態を示す、キャリアウェハ102と、キャリアウェハ102の上にあるマイクロ電気機械システム(MEMS)結合剥離層104との断面図である。一実施形態では、キャリアウェハ102はシリコンウェハを含む。MEMS犠牲剥離層104は、モリブデン(Mo)、ゲルマニウム(Ge)、酸化ゲルマニウム(GeOx)、二酸化シリコン(SiO)を含む酸化シリコン(SiOx)または他のタイプの犠牲材料のような材料を含むことができる。一実施形態では、MEMS犠牲剥離層104は、たとえば、化学気相成長(CVD)プロセス、プラズマ化学気相成長(PECVD)プロセス、物理気相成長(PVD)プロセスのような従来の堆積プロセスを使用することによって、キャリアウェハ102上に設けることができる。
図2は、MEMS結合剥離構造を作製する第2のステップにおける構造の実施形態を示す、キャリアウェハ102と、キャリアウェハ102の上にあるMEMS犠牲剥離層104と、MEMS犠牲剥離層104の上にある、酸化物間融着のための酸化物層106との断面図である。一実施形態では、酸化物層106は、酸化物間結合のための二酸化シリコン(SiO)のような薄い酸化物材料を含む。一実施形態では、酸化物層106は、たとえば、CVDプロセスのような従来の堆積プロセスを使用することによって、MEMS犠牲剥離層104上に設けることができる。さらなる実施形態では、酸化物層106は、たとえば、プラズマ化学気相成長(PECVD)によって、MEMS犠牲剥離層104上に設けることができる。
図3は、MEMS結合剥離構造を作製する第3のステップにおける構造の実施形態を示す、キャリアウェハ102上に複数のMEMSポストまたはピラー108a、108b、108c、...を有するMEMSポスト/ピラー結合剥離構造の断面図である。一実施形態では、MEMSポストまたはピラー108a、108b、108c、...は、キャリアウェハ102の上にあるMEMS犠牲剥離層104と、MEMS犠牲剥離層104の上にある酸化物層106とを備える。一実施形態では、図3に示されるようなMEMSポストまたはピラー108a、108b、108c...は、たとえば、図2に示されるような、連続したMEMS犠牲剥離層104および酸化物層106をパターニングし、エッチングすることによって形成することができる。
図3の断面図は、複数のMEMSポストまたはピラー108a、108b、108c、...を、実質的に等しい間隔を有し、互いに実質的に同一であるように示すが、図10Aおよび図10Bに示されるような実施形態を参照しながら後に説明されるように、所与のキャリアウェハ上にあるMEMSポストまたはピラーは同じ幅を有する必要はなく。隣接するMEMSポストまたはピラー間の間隔は同一である必要はない。さらに、代替の実施形態では、図11に示されるような実施形態を参照しながら後に説明されるように、MEMSポストまたはピラーは作製される必要はなく、MEMS犠牲剥離層104は、代わりに連続層として形成することができる。
図4Aは、図1〜図3に示されるような、キャリアウェハ102とは別に最初に設けられる、移載基板200の一実施形態の断面図である。図4Aに示される実施形態では、移載基板200は、反対に位置する表面204および206を有するバルクウェハ202と、バルクウェハ202の表面204および206上にそれぞれ配置される2つの半導体酸化物層208および210とを備える。この実施形態では、バルクウェハ202を挟むために、2つの半導体酸化物層208および210が熱酸化され、位置決めされる。代替的には、例示のために、バルクウェハ202の表面204上に1つの半導体酸化物層208のみが設けられる。一実施形態では、移載基板200のバルクウェハ202はシリコンウェハを含むのに対して、半導体酸化物層208および210はそれぞれSiOを含む。一実施形態では、SiO層208および210は、たとえば、バルクシリコンウェハ202の表面を熱酸化することによって、バルクシリコンウェハ202上に形成することができる。
一実施形態では、半導体酸化物層208と接触しているバルクウェハ202の表面204付近の部分212にドーパントが注入される。バルクウェハ202が図4Aに示されるように2つの半導体酸化物層208および210によって挟まれる実施形態では、半導体層のうちの1つと接触しているバルクウェハの表面のうちの1つ付近の部分のみに、たとえば、半導体酸化物層208と接触しているバルクウェハ202の表面204付近の部分212にのみ、ドーパント注入が適用される場合がある。一実施形態では、たとえば、バルクウェハ202の表面204付近の部分212に、H+デルタ注入(delta implantation)のようなイオン注入プロセスを適用することができる。図4Bは、図4Aの移載基板200が反転され、図4Bのキャリア基板300に結合される前の、キャリアウェハ102と、複数のMEMSポストまたはピラー108a、108b、108c、...とを含むキャリア基板300の断面図であり、複数のMEMSポストまたはピラーはそれぞれ、図3に示されるような、MEMS犠牲剥離層104と、酸化物層106とを有する。
実際には、移載基板200のバルクウェハ202の下面206より、上面204からドーパントを注入するほうが通常容易であり、その初期の向きは図4Aにおいて示されており、図4Bに示されるようなMEMSポストまたはピラー結合剥離構造の初期の向きと一致する。一実施形態では、移載基板200は、その断面図が図5Bに示されるキャリア基板300に結合される前に、図5Aの断面図において示されるように、上下反転される。
図6は、被結合構造の一実施形態の断面図であり、その構造は、反転した移載基板200がキャリア基板300に結合された後のキャリア基板300および移載基板200の組合せである。図6に示される実施形態では、H+デルタ注入が適用されたバルクウェハ202の表面204と接触している半導体酸化物層208は、MEMSポストまたはピラー108a、108b、108c、...のそれぞれの酸化物層106に直接結合される。
図7は、バルクウェハ202のH+デルタ注入部分212と、バルクウェハ202のH+デルタ注入部分212の表面204上の半導体酸化物層208とを、キャリア基板300とともに無傷のままにしながら、キャリア基板300から移載基板200の一部を分離することを示す実施形態の断面図である。一実施形態では、バルクウェハ202のドープされない部分220と、バルクウェハ202のH+デルタ注入部分212の反対に位置する表面206上の半導体酸化物層210とが、バルクウェハ202のH+デルタ注入部分212から分離される。バルクウェハ202のH+デルタ注入部分212からのバルクウェハ202のドープされない部分220の分離は、たとえば、劈開によって達成することができる。
図8は、移載基板のバルクウェハのドープされない部分が、バルクウェハ202のH+デルタ注入部分212から分離または劈開された後の、MEMSピラー/ポスト結合剥離構造の断面図である。図8に示されるように、バルクウェハ202のH+デルタ注入部分212と、バルクウェハ202のH+デルタ注入部分212の表面204上の半導体酸化物層208とは、現在、MEMSポストまたはピラー結合剥離構造の一体化された部分であり、その構造は、キャリアウェハ102と、複数のMEMSポストまたはピラー108a、108b、108c、...とをさらに含み、MEMSポストまたはピラーはそれぞれ、MEMS犠牲剥離層104と、薄い酸化物層106とを有する。
一実施形態では、SiO層を含む場合がある半導体酸化物層208は、MEMSポストまたはピラー108a、108b、108c、...のそれぞれの薄い酸化物層106上に直接位置決めされる。移載基板200のバルクウェハ202がシリコンを含み、移載基板200の半導体酸化物層208がSiOを含む実施形態では、バルクウェハ202のH+デルタ注入部分212および半導体酸化物層208は共に、シリコンオンインシュレータ(SOI)基板400を形成する。そのようなSOI基板400は、3D ICの作製中にSiO BOX層と見なすこともでき、3D ICは、図13〜図21を参照しながら後に説明されることになる。
図9は、SOI基板400がウェハ表面仕上げプロセスにかけられた後の、完成したMEMSピラー/ポスト結合剥離構造の一実施形態の断面図である。一実施形態では、仕上げプロセスは、たとえば、図7を参照しながら先に説明されたような、MEMSピラー/ポスト結合剥離構造からバルクウェハのドープされない部分の分離または劈開から生じる、バルクウェハ202のH+デルタ注入部分212の上面230を平滑にする結合後化学機械研磨(CMP)プロセスを含むことができる。さらなる実施形態では、仕上げプロセスは、たとえば、SOI基板400のためのオゾン酸化処理を含む場合もある。
図10Aおよび図10Bはそれぞれ、MEMSポストまたはピラーのうちのいくつかが異なる幅を有する場合がある、半導体ウェハにわたるMEMSポストまたはピラー結合剥離構造の実施形態の側断面図および平面図である。図10Aおよび図10Bにおいて、図9を参照しながら先に説明された構造に類似のMEMSポストまたはピラー結合剥離構造の一部として、複数の内側MEMSポストまたはピラー108a、108b、108c、...が設けられる。内側MEMSポストまたはピラー108a、108b、108c、...に加えて、図10Aおよび図10Bは、内側MEMSポストまたはピラー108a、108b、108c、..を包囲する、複数の外側MEMSポストまたはピラー150a、150b、...も示す。一実施形態では、外側MEMSポストまたはピラー150a、150b、...はそれぞれ、犠牲層剥離プロセス中に被結合ウェハ全体の十分な構造的支持を与えるために、キャリアウェハ102上の内側MEMSポストまたはピラー108a、108b、108c、...のそれぞれの幅より広い幅を有する。異なる幅を有する以外は、外側MEMSポストまたはピラー150a、150b、...は、MEMS犠牲剥離層104および薄い酸化物層106を含む、内側MEMSポストまたはピラー108a、108b、108c、...と同じ2層構造を有する。さらなる実施形態では、キャリアウェハ102の外周に沿って封止リング160も設けられる。一実施形態では、封止リング160も、MEMS犠牲剥離層104および薄い酸化物層106を含む、内側および外側MEMSポストまたはピラーと同じ2層構造を有する。
図11は、MEMS結合剥離構造の代替の実施形態の断面図である。上記のMEMSポストまたはピラーの代わりに、図11に示される実施形態におけるMEMS結合剥離構造は、キャリア基板500を形成するために、キャリアウェハ102上に連続したMEMS犠牲剥離層504を含む。一実施形態では、SOI基板400は、半導体酸化物層208と、たとえば、H+デルタ注入プロセスによってドープされる場合があるバルクウェハ202の層とを含み、キャリア基板500に結合される。一実施形態では、キャリアウェハ102はシリコンを含むのに対して、バルクウェハ202は、たとえば、H+デルタドープシリコンを含む。一実施形態では、MEMS犠牲剥離層504は、キャリアウェハ102にわたって連続して配置され、たとえば、Mo、Ge、GeO、またはSiOを含むSiOのような犠牲材料を含むことができる。一実施形態では、SOI基板400の半導体酸化物層208はSiOを含む。
図12〜図21は、図1〜図11に関してその実施形態が先に説明された、1つまたは複数のMEMS結合剥離構造を用いて集積回路の複数の段を積重することによって、3D ICを形成するためのプロセスの実施形態を示す断面図である。図12は、第1の段(段1)の集積回路の断面図である。シリコンバルクハンドラ(silicon bulk handler)またはシリコンオンインシュレータ(SOI)基板を含む場合がある段1のためのウェハ1202が、従来通りに準備される場合がある。図12に示される実施形態では、段1内の集積回路は、1つまたは複数の金属層(M1層、M2層、M3層、M4層)1204、1206、1208および1210と、1つまたは複数の層間誘電体(ILD)層(ILD−0層、ILD−1層、ILD−2層、ILD−3層)1212、1214、1216および1218とを含むことができる。
ILD層のうちの1つまたは複数を貫通して、金属層のうちのいくつかまたはすべての間を電気的に相互接続するために、たとえば、図12に示されるような、ビア(V1、V2、V3)1220、1222および1224を含む、複数のビアが設けられる場合もある。一実施形態では、図13を参照しながら後に説明されることになる第2の段(段2)の集積回路との酸化物結合のために、上側金属層(M4層)1210上にさらなるILD層(ILD−4層)1230が設けられる。図12を参照すると、第2の段の集積回路内の対応するボンディングパッドとの結合のために、上側ILD層1230内の上側金属層(M4層)1210内の金属コンタクトのうちの少なくともいくつかの金属コンタクト上に、ボンディングパッド1240および1242のような複数のボンディングパッドが設けられる。
図13は、その実施形態が図1〜図11を参照しながら先に説明された、MEMS結合剥離構造上に準備された第2の段(段2)の集積回路の断面図である。図13では、図10Aに示されるようなMEMSポストまたはピラー結合剥離構造が設けられ、その構造は、キャリアウェハ102と、それぞれがMEMS犠牲剥離層104および薄い酸化物層106を有する、複数の内側MEMSポストまたはピラー108a、108b、108c、...、複数の外側MEMSポストまたはピラー150a、150b、...、ならびに封止リング160と、BOX層とも呼ばれるSOI基板400とを備え、SOI基板400は、二酸化シリコン(SiO)層と、アクティブシリコン層とを備える場合がある。図13を参照すると、SOI基板またはBOX層400の上に、複数の金属層(M1層、M2層、M3層、M4層)1302、1304、1306および1308と、複数のILD層(ILD−0層、ILD−1層、ILD−2層、ILD−3層)1310、1312、1314および1316とが設けられる。
段1と同様に、段2の集積回路は、ILD層のうちの1つまたは複数を貫通して、金属層のうちのいつかまたはすべての間を電気的に相互接続するために設けられる複数のビア(V1、V2、V3)1320、1322および1324を含む場合もある。再び、段1と同様に、段2の集積回路は、酸化物結合のために上側金属層(M4層)1308上に上側ILD層(ILD−4層)1330を含む場合もある。さらに、第1の段(段1)の集積回路内の対応するボンディングパッドと結合するために、上側ILD層1330内の上側金属層(M4層)1308内の金属コンタクトのうちの少なくともいくつかの金属コンタクト上に、ボンディングパッド1340および1342のような複数のボンディングパッドが設けられる。
図14は、互いに結合される前の、段1および段2の集積回路の位置合わせを示す断面図である。図14において、段2の集積回路は、図13の断面図内の向きから上下反転される。図14において、MEMSポストまたはピラー結合剥離構造のBOX層400に取り付けられた段2の集積回路が上下反転された後に、段2のボンディングパッド1342および1340がそれぞれ段1のボンディングパッド1240および1242と位置合わせされる。図14に示されるように、パッドが互いに結合されて、十分に良好な電気的接続、すなわち、十分な接触面積が確立されるように対応するボンディングパッドが互いに位置合わせされる限り、所与の段の各ボンディングパッドの幅は、他方の段の対応するボンディングパッドの幅と同じである必要はない。
図15は、段2および段1の集積回路のウェハ間(W2W)結合を示す断面図である。図15において、段2を段1に結合すると、段2のボンディングパッド1342および1340がそれぞれ段1のボンディングパッド1240および1242と直接接触し、それにより、対応するボンディングパッド間の電気的接続を確立する。さらに、段1の上側ILD層(ILD−4層)1230も段2の上側ILD層(ILD−4層)1330と直接接触し、それにより、2段3D ICを形成する。
図16は、内側MEMSポストまたはピラー108a、108b、108c、...、外側MEMSポストまたはピラー150a、150b、...および封止リング160のそれぞれにおいてMEMS犠牲剥離層104が除去され、それにより、内側および外側MEMSポストまたはピラーならびに封止リングのそれぞれにおける薄い酸化物層106を、BOX層400とともに無傷のままにしておいた後の2段3D ICの実施形態を示す断面図である。MEMS結合剥離構造が図2に示され、先に説明されたような複数のMEMSポストまたはピラーを含む実施形態では、MEMS犠牲剥離層104を除去した後の薄い酸化物層106は、図16に示されるように、BOX層400上にある小さな突起の形をとることになる。MEMS犠牲剥離層104は、ウエットまたはドライいずれかのエッチング化学作用における剥離エッチングプロセスによって容易に除去することができる。たとえば、XeFが、MoまたはSi犠牲層のためのドライエッチング剥離化学物質として広く使用される。MEMS犠牲剥離層を除去すると、キャリアウェハ102は、段2の集積回路から完全に剥離されるか、または分離する。
図17は、BOX層400の平滑な上面1702を形成するために、BOX層400上の残りの薄い酸化物層106を除去した後の図16の3D ICの断面図である。BOX層400の上面1702は、一実施形態において後工程(BEOL)における化学機械研磨(CMP)プロセスのような従来の研磨プロセスによって平滑化することができる。図18は、BOX層400と、BOX層400直下の段2のILD層(ILD−0層)1310とを貫通して複数のビア1802a、1802b、1802c、...が設けられた後の図17の3D ICの断面図である。ビア1802a、1802b、1802cは、BOX層400の指定された部分と、BOX層400直下のILD層(ILD−0層)1310の対応する部分とを従来通りに除去することによって、形成することができる。ビアを形成した後に、これらのビアは金属(たとえば、Cu)を充填され、その後、BEOLにおいて通常使用されるCMPプロセスが行われる。
図19は、ビア1802a、1802b、1802c、...の上方の付加的な金属層(M5層)の一部として、1つまたは複数の金属相互接続1902a、1902b、1902c、...が形成された後の図18の3D ICの断面図である。図20は、一実施形態においてM5層上に1つまたは複数のさらなるILD層(ILD−5層、ILD−6層)2002および2004が形成された後の図19の3D ICの断面図である。さらなる実施形態において、ILD−5層2002の上に、さらにはILD−6層2004内に金属相互接続2006a、2006b、2006c、...を有する別の金属層(M6層)が設けられる。さらなる実施形態では、M5層およびM6層の金属相互接続間を電気的に接続できるようにするために、ILD−5層2002内に複数のビア2008a、2008b、2008c、...が設けられる。一実施形態では、M6層の1つまたは複数の金属相互接続の上に、ボンディングパッド2010aおよび2010bのような1つまたは複数のボンディングパッドが形成される。
図21は、段2および段1の集積回路が形成され、図12〜図20を参照しながら先に説明された結合プロセスによって互いに結合される3段3D ICの一実施形態の断面図である。図21に示される実施形態では、集積回路のさらなる段、段3が、上記の段2の形成および段1への結合と同じようにして段2の上に形成される。図21では、ボンディングパッド2110aおよび2110bが段3に設けられ、たとえば、ウェハ間(W2W)ハイブリッド結合プロセスにおいて、それぞれ段2のボンディングパッド2010aおよび2010bと位置合わせされる。
図21では、上記のMEMS犠牲剥離構造の一実施形態において二酸化シリコン(SiO)層およびアクティブシリコン層によって形成されるさらなるBOX層2120が、段3のために設けられる。さらに、さらなる段の集積回路(図示せず)をW2Wハイブリッドボンディングプロセスにおいて段3に結合できるようにするために、一実施形態において、BOX層2120の上に1つまたは複数の金属層(M5層、M6層)と、1つまたは複数のILD層(ILD−5層、ILD−6層)とが設けられる場合があり、M6層の上に1つまたは複数のボンディングパッド2130aおよび2130bが設けられる場合がある。多段3D ICを製造するために、多数の段の集積回路を同じようにして積重することができる。
上記の実施形態のうちのいくつかは、シリコン集積回路の処理に関連するが、開示の原理は、他の材料に基づく集積回路にも適用可能である。他の実施形態では、上段ウェハの半導体材料は、シリコンゲルマニウム(SiGe)、ガリウムヒ素(GaAs)、インジウムリン(InP)、窒化カリウム(GaN)または他の半導体のような、シリコン以外の材料とすることができる。さらに、下段ウェハは、絶縁性基板材料のような非半導体とすることができる。たとえば、ガラス、石英基板、さらには、フラットパネルディスプレイまたはセンサにおいて使用されるガラスパネルが、下段ウェハのための絶縁性基板材料として使用される場合がある。さらに、本開示の実施形態によるMEMS結合剥離構造により、粗い研削および細かい研削のような従来の機械的研削プロセスによってではなく、段ごとのBOX層の厚さを制御することによって、上段ウェハシニングおよび厚さを正確に制御できるようになり、それにより、非常に小さなウェハ全厚変動(TTV)を達成する。
さらに、従来の機械的なウェハ研削プロセスを不要にすることによって、機械的なウェハ研削中に導入される機械的な応力に起因して、上段内の回路要素の電気的特性に及ぼされる悪影響を回避することができる。さらに、エッチングによるMEMS犠牲層剥離が、時間がかかる機械的研削プロセスより迅速な場合があるので、MEMS犠牲剥離プロセスにより、多段3D ICデバイスを製造する際に、より高いスループットが達成される場合がある。3D ICデバイスの製造において本開示の実施形態によるMEMS結合剥離構造を使用することによって、従来の機械的研削プロセスから生じる、半導体材料の無駄、および回路要素にかかる機械的応力を回避することによって、より低い材料コスト、より高い歩留まりおよびより良好な材料利用を達成することができる。
これまでの開示は例示的な実施形態を説明するが、添付の特許請求の範囲から逸脱することなく、本明細書において種々の変形および変更を加えることができることに留意されたい。本明細書において説明された実施形態による方法および装置クレームの機能、ステップまたは動作は、他に明示されない限り、任意の特定の順序において実行される必要はない。さらに、要素は、単数形で説明または特許請求される場合があるが、単数形への限定が明示的に述べられていない限り、複数形も考えられる。
102 キャリアウェハ
104 マイクロ電気機械システム(MEMS)犠牲剥離層
106 酸化物層
108a MEMSポストまたはピラー
108b MEMSポストまたはピラー
108c MEMSポストまたはピラー
150a 外側MEMSポストまたはピラー
150b 外側MEMSポストまたはピラー
160 封止リング
200 移載基板
202 バルクウェハ
204 表面
206 表面
208 半導体酸化物層
210 半導体酸化物層
212 部分
220 ドープされない部分
230 上面
300 キャリア基板
400 シリコンオンインシュレータ(SOI)基板またはBOX層
500 キャリア基板
504 連続したMEMS犠牲剥離層
1202 ウェハ
1204 金属M1層
1206 金属M2層
1208 金属M3層
1210 金属M4層
1212 層間誘電体ILD−0層
1214 層間誘電体ILD−1層
1216 層間誘電体ILD−2層
1218 層間誘電体ILD−3層
1220 ビアV1
1222 ビアV2
1224 ビアV3
1230 ILD−4層
1240 ボンディングパッド
1242 ボンディングパッド
1302 金属M1層
1304 金属M2層
1306 金属M3層
1308 金属M4層
1310 ILD−0層
1312 ILD−1層
1314 ILD−2層
1316 ILD−3層
1320 ビアV1
1322 ビアV2
1324 ビアV3
1330 ILD−4層
1340 ボンディングパッド
1342 ボンディングパッド
1702 上面
1802a ビア
1802b ビア
1802c ビア
1902a 金属相互接続
1902b 金属相互接続
1902c 金属相互接続
2002 ILD−5層
2004 ILD−6層
2006a 金属相互接続
2006b 金属相互接続
2006c 金属相互接続
2008a ビア
2008b ビア
2008c ビア
2010a ボンディングパッド
2010b ボンディングパッド
2110a ボンディングパッド
2110b ボンディングパッド
2120 BOX層
2130a ボンディングパッド
2130b ボンディングパッド

Claims (40)

  1. マイクロ電気機械システム(MEMS)結合剥離構造であって、
    キャリアウェハと、
    前記キャリアウェハ上にあるMEMS犠牲剥離層と、
    前記MEMS犠牲剥離層上にある半導体酸化物層と、
    前記半導体酸化物層上にあるアクティブ半導体層とを備える、マイクロ電気機械システム(MEMS)結合剥離構造。
  2. 前記半導体酸化物層は、二酸化シリコン(SiO)層を含む、請求項1に記載の構造。
  3. 前記アクティブ半導体層は、前記SiO層上にあるアクティブシリコン層を含む、請求項2に記載の構造。
  4. 前記MEMS犠牲剥離層は、互いに離間する複数のMEMSポストを備える、請求項1に記載の構造。
  5. 前記MEMSポストはそれぞれ犠牲剥離材料を含む、請求項4に記載の構造。
  6. 前記犠牲剥離材料は、モリブデン(Mo)、ゲルマニウム(Ge)、酸化ゲルマニウム(GeO)および酸化シリコン(SiO)からなるグループから選択される材料を含む、請求項5に記載の構造。
  7. 前記MEMSポストはそれぞれ、前記犠牲剥離材料を前記半導体酸化物層と結合するために前記犠牲剥離材料上に酸化物材料をさらに備える、請求項5に記載の構造。
  8. 前記MEMSポストは1つまたは複数の内側MEMSポストと、前記キャリアウェハ上で前記1つまたは複数の内側MEMSポストを少なくとも部分的に包囲する1つまたは複数の外側MEMSポストとを備える、請求項4に記載の構造。
  9. 前記MEMS犠牲剥離層は、前記キャリアウェハ上に連続した犠牲剥離層を含む、請求項1に記載の構造。
  10. 前記半導体酸化物層および前記アクティブ半導体層は共に埋め込み酸化物(BOX)層を形成する、請求項1に記載の構造。
  11. マイクロ電気機械システム(MEMS)結合剥離構造を作製する方法であって、
    キャリアウェハを設けるステップと、
    前記キャリアウェハ上にMEMS犠牲剥離層を設けるステップと、
    前記MEMS犠牲剥離層上に半導体酸化物層を設けるステップと、
    前記半導体酸化物層上にアクティブ半導体層を設けるステップとを含む、方法。
  12. 前記MEMS犠牲剥離層を設ける前記ステップは、
    前記キャリアウェハ上に犠牲剥離材料を堆積するステップと、
    前記犠牲剥離材料上に酸化物材料を堆積するステップとを含む、請求項11に記載の方法。
  13. 前記犠牲剥離材料を堆積する前記ステップは、モリブデン(Mo)、ゲルマニウム(Ge)、酸化ゲルマニウム(GeO)および酸化シリコン(SiO)からなるグループから選択される材料を堆積するステップを含む、請求項12に記載の方法。
  14. 前記犠牲剥離材料を堆積する前記ステップは、化学気相成長(CVD)、プラズマ化学気相成長(PECVD)または物理気相成長(PVD)によって、前記犠牲剥離材料を堆積するステップを含む、請求項12に記載の方法。
  15. 前記酸化物材料を堆積する前記ステップは、化学気相成長(CVD)、プラズマ化学気相成長(PECVD)または物理気相成長(PVD)によって、前記酸化物材料を堆積するステップを含む、請求項12に記載の方法。
  16. バルクウェハと、前記バルクウェハの表面上にある少なくとも1つの半導体酸化物層とを含む移載基板を設けるステップと、
    前記半導体酸化物層と接触している前記バルクウェハの前記表面付近にある前記バルクウェハの第1の部分にH+デルタ注入を適用するステップとをさらに含む、請求項11に記載の方法。
  17. 前記移載基板を、前記キャリアウェハ、前記MEMS犠牲剥離層、前記半導体酸化物層および前記アクティブ半導体層を備えるキャリア基板に結合するステップをさらに含む、請求項16に記載の方法。
  18. 前記移載基板を前記キャリア基板に結合する前記ステップは、前記移載基板の前記半導体酸化物層を前記MEMS犠牲剥離層に結合するステップを含む、請求項17に記載の方法。
  19. 前記移載基板の前記バルクウェハの第2の部分を前記キャリア基板から分離するステップと、
    H+デルタ注入を伴う前記バルクウェハの第1の部分を、前記半導体酸化物層と接触させておくステップとをさらに含む、請求項18に記載の方法。
  20. 前記移載基板の前記半導体酸化物層は二酸化シリコン(SiO)を含み、前記移載基板の前記バルクウェハはシリコン(Si)を含む、請求項19に記載の方法。
  21. 3次元集積回路デバイスであって、
    基板と、
    1つまたは複数の金属層と、1つまたは複数の層間誘電体(ILD)層とを備える、第1の段の1つまたは複数の集積回路と、
    1つまたは複数の金属層と、1つまたは複数のILD層とを備える、第2の段の1つまたは複数の集積回路と、
    前記第2の段の1つまたは複数の集積回路内の前記ILD層のうちの少なくとも1つのILD層と接触している第1の埋め込み酸化物(BOX)層とを備え、前記第1のBOX層の1つまたは複数の部分と、前記第2の段の1つまたは複数の集積回路内の前記ILD層のうちの前記少なくとも1つのILD層の1つまたは複数の部分とは、前記第1のBOX層と、前記第2の段内の前記ILD層のうちの前記少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成するために除去される、3次元集積回路デバイス。
  22. 前記1つまたは複数のビアの上方に1つまたは複数の金属相互接続をさらに備える、請求項21に記載のデバイス。
  23. 前記金属相互接続上に、1つまたは複数の金属層と、1つまたは複数のILD層とをさらに備える、請求項22に記載のデバイス。
  24. 前記1つまたは複数の金属層上に複数のボンディングパッドをさらに備える、請求項23に記載のデバイス。
  25. 前記第1のBOX層は、シリコン層(Si)と、二酸化シリコン(SiO)層を含む、請求項21に記載のデバイス。
  26. 前記第1の段の1つまたは複数の集積回路はさらに、第1の1組の1つまたは複数のボンディングパッドを備え、前記第2の段の1つまたは複数の集積回路はさらに、第2の1組の1つまたは複数のボンディングパッドを備え、前記第1の組内の前記ボンディングパッドのうちの少なくとも1つは前記第2の組内の前記ボンディングパッドのうちの少なくとも1つに接続される、請求項21に記載のデバイス。
  27. 1つまたは複数の金属層と、1つまたは複数のILD層とを備える、第3の段の1つまたは複数の集積回路と、
    前記第3の段の1つまたは複数の集積回路内の前記ILD層のうちの少なくとも1つのILD層と接触している第2のBOX層とを備え、前記第2のBOX層の1つまたは複数の部分と、前記第3の段の1つまたは複数の集積回路内の前記ILD層のうちの前記少なくとも1つのILD層の1つまたは複数の部分とは、前記第2のBOX層と、前記第3の段内の前記ILD層のうちの前記少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成するために除去される、請求項21に記載のデバイス。
  28. 前記第2の段の1つまたは複数の集積回路はさらに、第2の1組の1つまたは複数のボンディングパッドを備え、前記第3の段の1つまたは複数の集積回路はさらに、第3の1組の1つまたは複数のボンディングパッドを備え、前記第2の組内の前記ボンディングパッドのうちの少なくとも1つは前記第3の組内の前記ボンディングパッドのうちの少なくとも1つに接続される、請求項27に記載のデバイス。
  29. 前記基板はシリコンオンインシュレータ(SOI)基板を含む、請求項21に記載のデバイス。
  30. 前記基板はシリコン(Si)バルクハンドラを含む、請求項21に記載のデバイス。
  31. 3次元集積回路デバイスを作製する方法であって、
    基板を設けるステップと、
    1つまたは複数の金属層と、1つまたは複数の層間誘電体(ILD)層とを備える、第1の段の1つまたは複数の集積回路を形成するステップと、
    1つまたは複数の金属層と、1つまたは複数のILD層とを備える、第2の段の1つまたは複数の集積回路を形成するステップと、
    前記第2の段の1つまたは複数の集積回路内の前記ILD層のうちの少なくとも1つのILD層と接触している第1の埋め込み酸化物(BOX)層を形成するステップと、
    前記第1のBOX層と、前記第2の段内の前記ILD層のうちの前記少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成するステップとを含む、方法。
  32. 前記第2の段の1つまたは複数の集積回路を形成する前記ステップは、前記第2の段の1つまたは複数の集積回路内の1つまたは複数のボンディングパッドを、前記第1の段の1つまたは複数の集積回路内の1つまたは複数のボンディングパッドと位置合わせするステップを含む、請求項31に記載の方法。
  33. 前記第2の段の1つまたは複数の集積回路を形成する前記ステップはさらに、前記第2の段の1つまたは複数の集積回路内の前記1つまたは複数のボンディングパッドを、前記第1の段の1つまたは複数の集積回路内の前記1つまたは複数のボンディングパッドと結合するステップを含む、請求項32に記載の方法。
  34. 前記第2の段の1つまたは複数の集積回路を形成する前記ステップは、キャリアウェハと、前記キャリアウェハ上にあるマイクロ電気機械システム(MEMS)結合剥離層と、前記MEMS犠牲剥離層上にある前記第1のBOX層とを備えるMEMS結合剥離構造上に、前記第2の段の1つまたは複数の集積回路を形成するステップを含む、請求項31に記載の方法。
  35. 前記MEMS犠牲剥離層を剥離することによって、前記第2の段の1つまたは複数の集積回路から前記キャリアウェハを分離するステップをさらに含む、請求項34に記載の方法。
  36. 前記1つまたは複数のビアの上方に1つまたは複数の金属相互接続を形成するステップと、
    前記金属相互接続上に1つまたは複数の金属層と、1つまたは複数のILD層とを形成するステップと、
    前記1つまたは複数の金属層上に複数のボンディングパッドを形成するステップとをさらに含む、請求項35に記載の方法。
  37. 1つまたは複数の金属層と、1つまたは複数のILD層とを備える、第3の段の1つまたは複数の集積回路を形成するステップと、
    前記第3の段の1つまたは複数の集積回路内の前記ILD層のうちの少なくとも1つのILD層と接触している第2のBOX層を形成するステップとをさらに含む、請求項31に記載の方法。
  38. 前記第2のBOX層と、前記第3の段内の前記ILD層のうちの前記少なくとも1つのILD層とを貫通する1つまたは複数のビアを形成するステップをさらに含む、請求項37に記載の方法。
  39. 前記第3の段の1つまたは複数の集積回路を形成する前記ステップは、キャリアウェハと、前記キャリアウェハ上にあるマイクロ電気機械システム(MEMS)結合剥離層と、前記MEMS犠牲剥離層上にある前記第2のBOX層とを備えるMEMS結合剥離構造上に、前記第3の段の1つまたは複数の集積回路を形成するステップを含む、請求項37に記載の方法。
  40. 前記MEMS犠牲剥離層を剥離することによって、前記第3の段の1つまたは複数の集積回路から前記キャリアウェハを分離するステップをさらに含む、請求項39に記載の方法。
JP2017514278A 2014-09-26 2015-09-08 3次元集積回路(3d ic)集積化のためのマイクロ電気機械システム(mems)結合剥離構造およびウェハ移載の方法 Pending JP2017536248A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/498,965 US9922956B2 (en) 2014-09-26 2014-09-26 Microelectromechanical system (MEMS) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3D IC) integration
US14/498,965 2014-09-26
PCT/US2015/048930 WO2016048649A1 (en) 2014-09-26 2015-09-08 Microelectromechanical system (mems) bond release structure and method of wafer transfer for three-dimensional integrated circuit (3d ic) integration

Publications (2)

Publication Number Publication Date
JP2017536248A true JP2017536248A (ja) 2017-12-07
JP2017536248A5 JP2017536248A5 (ja) 2018-09-27

Family

ID=54186292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017514278A Pending JP2017536248A (ja) 2014-09-26 2015-09-08 3次元集積回路(3d ic)集積化のためのマイクロ電気機械システム(mems)結合剥離構造およびウェハ移載の方法

Country Status (10)

Country Link
US (1) US9922956B2 (ja)
EP (1) EP3198634A1 (ja)
JP (1) JP2017536248A (ja)
KR (1) KR20170066354A (ja)
CN (1) CN106688077A (ja)
BR (1) BR112017006167A2 (ja)
HK (1) HK1232339A1 (ja)
SG (1) SG11201700918RA (ja)
TW (1) TWI585820B (ja)
WO (1) WO2016048649A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019134165A (ja) * 2018-02-02 2019-08-08 インフィニオン テクノロジーズ アクチエンゲゼルシャフトInfineon Technologies AG ウェハ合成物および半導体コンポーネントの製造方法
CN113912005A (zh) * 2021-10-08 2022-01-11 天津大学 一种基于柔性铰链结构的xy全解耦微运动平台

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US10049915B2 (en) * 2015-01-09 2018-08-14 Silicon Genesis Corporation Three dimensional integrated circuit
JP6784969B2 (ja) * 2015-10-22 2020-11-18 天馬微電子有限公司 薄膜デバイスとその製造方法
FR3053159B1 (fr) 2016-06-23 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'une structure de transistors comportant une etape de bouchage
US10438838B2 (en) * 2016-09-01 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related method
WO2018182647A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Apparatus with multi- wafer based device comprising embedded active and/or passive devices and method for forming such
US11211328B2 (en) * 2017-10-16 2021-12-28 SK Hynix Inc. Semiconductor memory device of three-dimensional structure
US20190371681A1 (en) * 2018-06-01 2019-12-05 Synaptics Incorporated Stacked wafer integrated circuit
US10504873B1 (en) 2018-06-25 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC structure with protective structure and method of fabricating the same and package
US10734285B2 (en) * 2018-06-28 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
KR102538181B1 (ko) * 2018-10-24 2023-06-01 삼성전자주식회사 반도체 패키지
US10796976B2 (en) * 2018-10-31 2020-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10804202B2 (en) * 2019-02-18 2020-10-13 Sandisk Technologies Llc Bonded assembly including a semiconductor-on-insulator die and methods for making the same
US11195818B2 (en) * 2019-09-12 2021-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contact for thermal displacement in a multi-wafer stacked integrated circuit
US11063022B2 (en) * 2019-09-17 2021-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Package and manufacturing method of reconstructed wafer
US11158580B2 (en) 2019-10-18 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power distribution network and frontside through silicon via
US10910272B1 (en) * 2019-10-22 2021-02-02 Sandisk Technologies Llc Reusable support substrate for formation and transfer of semiconductor devices and methods of using the same
US11088116B2 (en) * 2019-11-25 2021-08-10 Sandisk Technologies Llc Bonded assembly containing horizontal and vertical bonding interfaces and methods of forming the same
US11239204B2 (en) * 2019-11-25 2022-02-01 Sandisk Technologies Llc Bonded assembly containing laterally bonded bonding pads and methods of forming the same
US11270988B2 (en) * 2020-01-20 2022-03-08 Monolithic 3D Inc. 3D semiconductor device(s) and structure(s) with electronic control units
US20240096798A1 (en) * 2020-01-20 2024-03-21 Monolithic 3D Inc. 3d semiconductor devices and structures with electronic circuit units
US11488939B2 (en) * 2020-01-20 2022-11-01 Monolithic 3D Inc. 3D semiconductor devices and structures with at least one vertical bus
US11315903B2 (en) * 2020-03-05 2022-04-26 Nanya Technology Corporation Semiconductor device with connecting structure and method for fabricating the same
US11127628B1 (en) * 2020-03-16 2021-09-21 Nanya Technology Corporation Semiconductor device with connecting structure having a step-shaped conductive feature and method for fabricating the same
US11715755B2 (en) * 2020-06-15 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for forming integrated high density MIM capacitor
KR20220017175A (ko) * 2020-08-04 2022-02-11 에스케이하이닉스 주식회사 웨이퍼 대 웨이퍼 본딩 구조를 갖는 반도체 장치 및 그 제조방법
US11817392B2 (en) * 2020-09-28 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
US11552055B2 (en) * 2020-11-20 2023-01-10 Qualcomm Incorporated Integrated circuit (IC) packages employing front side back-end-of-line (FS-BEOL) to back side back-end-of-line (BS-BEOL) stacking for three-dimensional (3D) die stacking, and related fabrication methods
US11682652B2 (en) * 2021-03-10 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Notched wafer and bonding support structure to improve wafer stacking

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010504649A (ja) * 2006-09-20 2010-02-12 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
JP2014504457A (ja) * 2010-12-24 2014-02-20 アイ・オゥ・セミコンダクター・インコーポレイテッド 半導体デバイスのためのトラップリッチ層
US20140264770A1 (en) * 2013-03-15 2014-09-18 Sandia Corporation Method of forming through substrate vias (tsvs) and singulating and releasing die having the tsvs from a mechanical support substrate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6888608B2 (en) 1995-09-06 2005-05-03 Kabushiki Kaisha Toshiba Liquid crystal display device
US6525415B2 (en) 1999-12-28 2003-02-25 Fuji Xerox Co., Ltd. Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US7420147B2 (en) 2001-09-12 2008-09-02 Reveo, Inc. Microchannel plate and method of manufacturing microchannel plate
US7435651B2 (en) * 2005-09-12 2008-10-14 Texas Instruments Incorporated Method to obtain uniform nitrogen profile in gate dielectrics
US7785938B2 (en) 2006-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd Semiconductor integrated circuit, manufacturing method thereof, and semiconductor device using semiconductor integrated circuit
US20080291767A1 (en) 2007-05-21 2008-11-27 International Business Machines Corporation Multiple wafer level multiple port register file cell
US7897428B2 (en) 2008-06-03 2011-03-01 International Business Machines Corporation Three-dimensional integrated circuits and techniques for fabrication thereof
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US8330559B2 (en) 2010-09-10 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level packaging
US8563396B2 (en) 2011-01-29 2013-10-22 International Business Machines Corporation 3D integration method using SOI substrates and structures produced thereby
US8970045B2 (en) 2011-03-31 2015-03-03 Soitec Methods for fabrication of semiconductor structures including interposers with conductive vias, and related structures and devices
US8368152B2 (en) 2011-04-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device etch stop
US8729673B1 (en) 2011-09-21 2014-05-20 Sandia Corporation Structured wafer for device processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010504649A (ja) * 2006-09-20 2010-02-12 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 転写可能な半導体構造、デバイス、及びデバイスコンポーネントを作成するための剥離方法
JP2014504457A (ja) * 2010-12-24 2014-02-20 アイ・オゥ・セミコンダクター・インコーポレイテッド 半導体デバイスのためのトラップリッチ層
US20140264770A1 (en) * 2013-03-15 2014-09-18 Sandia Corporation Method of forming through substrate vias (tsvs) and singulating and releasing die having the tsvs from a mechanical support substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019134165A (ja) * 2018-02-02 2019-08-08 インフィニオン テクノロジーズ アクチエンゲゼルシャフトInfineon Technologies AG ウェハ合成物および半導体コンポーネントの製造方法
JP7438664B2 (ja) 2018-02-02 2024-02-27 インフィニオン テクノロジーズ アクチエンゲゼルシャフト ウェハ合成物および半導体コンポーネントの製造方法
CN113912005A (zh) * 2021-10-08 2022-01-11 天津大学 一种基于柔性铰链结构的xy全解耦微运动平台
CN113912005B (zh) * 2021-10-08 2023-02-03 天津大学 一种基于柔性铰链结构的xy全解耦微运动平台

Also Published As

Publication number Publication date
BR112017006167A2 (pt) 2018-04-10
SG11201700918RA (en) 2017-04-27
HK1232339A1 (zh) 2018-01-05
US20160093591A1 (en) 2016-03-31
EP3198634A1 (en) 2017-08-02
KR20170066354A (ko) 2017-06-14
CN106688077A (zh) 2017-05-17
WO2016048649A1 (en) 2016-03-31
TW201633366A (zh) 2016-09-16
US9922956B2 (en) 2018-03-20
TWI585820B (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
JP2017536248A (ja) 3次元集積回路(3d ic)集積化のためのマイクロ電気機械システム(mems)結合剥離構造およびウェハ移載の方法
US10756056B2 (en) Methods and structures for wafer-level system in package
US10607937B2 (en) Increased contact alignment tolerance for direct bonding
US20200168584A1 (en) Methods of forming bonded semiconductor structures, and semiconductor structures formed by such methods
US10796958B2 (en) 3D integration method using SOI substrates and structures produced thereby
TWI443723B (zh) 複合晶圓半導體元件及其形成方法
US20070207592A1 (en) Wafer bonding of damascene-patterned metal/adhesive redistribution layers
CN107316840B (zh) 混合接合半导体晶片的3dic结构与方法
US20150054149A1 (en) Novel 3D Integration Method Using SOI Substrates And Structures Produced Thereby
KR20120010120A (ko) 임시 반도체 구조 본딩 방법들 및 관련 본딩된 반도체 구조들
KR20120112091A (ko) 접합 반도체 구조 형성 방법 및 그 방법에 의해 형성된 반도체 구조
JP2013537363A (ja) 犠牲材料を使用して半導体構造体中にウェーハ貫通相互接続部を形成する方法、及びかかる方法により形成される半導体構造体
EP3180802B1 (en) Semiconductor structure with multiple active layers in an soi wafer
Pham et al. Process challenges in 0-level packaging using 100μm-thin chip capping with TSV
TW202327001A (zh) 使用選擇性沉積及表面處理的晶圓接著方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180820

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180820

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190722

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200309