JP2017515985A - 相及び応力制御を使用したプラズマ溶射コーティング設計 - Google Patents

相及び応力制御を使用したプラズマ溶射コーティング設計 Download PDF

Info

Publication number
JP2017515985A
JP2017515985A JP2017512875A JP2017512875A JP2017515985A JP 2017515985 A JP2017515985 A JP 2017515985A JP 2017512875 A JP2017512875 A JP 2017512875A JP 2017512875 A JP2017512875 A JP 2017512875A JP 2017515985 A JP2017515985 A JP 2017515985A
Authority
JP
Japan
Prior art keywords
mol
zro
mole
plasma
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017512875A
Other languages
English (en)
Other versions
JP7033919B2 (ja
JP2017515985A5 (ja
Inventor
ジェニファー ワイ サン
ジェニファー ワイ サン
イーカイ チェン
イーカイ チェン
ビラジャ プラサッド カヌンゴ
ビラジャ プラサッド カヌンゴ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017515985A publication Critical patent/JP2017515985A/ja
Publication of JP2017515985A5 publication Critical patent/JP2017515985A5/ja
Application granted granted Critical
Publication of JP7033919B2 publication Critical patent/JP7033919B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/48Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on zirconium or hafnium oxides, zirconates, zircon or hafnates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/50Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds
    • C04B35/505Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on rare-earth compounds based on yttrium oxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Analytical Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半導体処理チャンバ用の物品のためのコーティングを製造するために、Al、Al2O3、又はSiCの少なくとも1つの本体を含む物品が提供され、Y2O3、Al2O3、及びZrO2の化合物を含むセラミックスコーティングが物品上にコーティングされる。セラミックスコーティングは、約100A〜約1000Aの値の範囲内のプラズマ電流を有するプラズマ溶射システムを提供する工程と、約60mm〜約250mmの間の本体からのトーチスタンドオフ距離にプラズマ溶射システムのトーチを位置決めする工程と、約30L/分〜約400L/分の間の速度でプラズマ溶射システムを通して第1ガスを流す工程と、セラミックスコーティングを形成するために本体をプラズマ溶射コーティングする工程であって、コーティングのスプラットはアモルファスでありパンケーキ形状を有する工程を含む方法によって本体に塗布される。

Description

本開示の実施形態は、概して、セラミックスコーティングされた物品に関し、及びコンポーネントへセラミックスコーティングを施すための方法に関する。
背景
半導体産業では、ますます減少するサイズの構造を作る多くの製造プロセスによって、デバイスは製造される。いくつかの製造プロセス(例えば、プラズマエッチングプロセスやプラズマ洗浄プロセス)は、基板をエッチング又は洗浄するためにプラズマの高速流に基板を曝露させる。プラズマは非常に腐食性があり、プラズマに曝露される(例えば、プラズマ環境に曝露される)処理チャンバ及び他の表面を腐食する可能性がある。この腐食は、処理されている基板(例えば、半導体ウェハ)をしばしば汚染する粒子を生成する場合がある。これらのウェハ上の粒子は、デバイス欠陥に寄与する可能性がある。
デバイスの幾何学形状が縮小するにつれて、欠陥への感受性は増加し、粒子汚染物質の要件はより厳しくなる。したがって、デバイスの幾何学形状が縮小するにつれて、粒子汚染の許容レベルは低下する可能性がある。プラズマエッチングプロセス及び/又はプラズマ洗浄プロセスによって導入される粒子汚染を最小限にするために、プラズマに耐性のあるチャンバ材料が開発されている。異なる材料は、異なる材料特性(例えば、耐プラズマ性、剛性、曲げ強度、耐熱衝撃性など)を提供する。また、異なる材料は、異なる材料コストを有する。したがって、いくつかの材料は、優れた耐プラズマ性を有し、他の材料は、より低いコストを有し、更に他の材料は、優れた曲げ強度及び/又は耐熱衝撃性を有する。
概要
一実施形態では、物品は、Al、Al、AlN、Y、YSZ、又はSiCのうちの少なくとも1つを含む本体を含む。物品は、本体の少なくとも1つの表面上のプラズマ溶射セラミックスコーティングであって、セラミックスコーティングは、Y、Al、及びZrOを含む化合物を含むセラミックスコーティングを更に含む。セラミックスコーティングは、重なったパンケーキ形状のスプラットを更に含み、アモルファス相を有する。
一実施形態では、物品をコーティングする方法は、プラズマ溶射システムのプラズマ電流を約100A〜約1000Aの値に設定する工程を含む。本方法は、約60mm〜約250mmの間の本体からの距離にプラズマ溶射システムのトーチスタンドオフを位置決めする工程を更に含む。本方法は、約30L/分〜約400L/分の間の速度でプラズマ溶射システムを通して第1ガスを流す工程を更に含む。本方法は、内部圧縮応力及びアモルファス相を有するセラミックスコーティングを本体上に形成するためにプラズマ溶射コーティングを実行する工程を含み、セラミックスコーティングは、Y、Al、及びZrOの化合物を含み、コーティングのスプラットは、パンケーキ形状を有する。
一実施形態では、物品は、Al、Al、AlN、Y、YSZ、又はSiCのうちの少なくとも1つを含む本体をプラズマ溶射システム内に配置する(例えば、プラズマ溶射システムのノズル又は銃の前に物品を配置する)工程と、YAlと、Y−ZrOの固溶体とを含む化合物からなるセラミックスコーティングで本体の少なくとも1つの表面をコーティングするために、プラズマ溶射システムによってプラズマ溶射プロセスを実行する工程によって製造される。プラズマ溶射システムは、パンケーキ形状のスプラットの重複で構成されるセラミックスコーティングを堆積させる。また、セラミックスコーティングは、相変化を受けることなく、直接アモルファス相に形成される。
本発明は、添付図面の図の中で、限定としてではなく、例として示され、同様の参照符号は同様の要素を示す。この開示における「一」又は「1つの」実施形態への異なる参照は、必ずしも同じ実施形態への参照ではなく、そのような参照は、少なくとも1つを意味することに留意すべきである。
一実施形態に係る、ライナーキットの断面図を示す。 一実施形態に係る、製造システムの例示的なアーキテクチャを示す。 一実施形態に係る、プラズマ溶射システムの断面図を示す。 一実施形態に係る、物品にコーティングを塗布する方法を示す。 実施形態に係る、スプラット面の走査型電子顕微鏡(SEM)写真を示す。 実施形態に係る、経時的なコーティングの曲率を示す。
詳細な説明
本発明の実施形態は、半導体処理チャンバ内でプラズマ化学に曝露される物品(例えば、プラズマスクリーン、ライナーキット、シャワーヘッド、蓋、静電チャック、又は他のチャンバコンポーネント)及び物品上のセラミックスコーティングを対象としている。セラミックスコーティングで物品をコーティングする方法は、約100A〜約1000Aの間の範囲内のプラズマ電流を有するプラズマ溶射システムを提供する工程と、約50mm〜約250mmの間の物品からの距離にプラズマ溶射システムのトーチスタンドオフを位置決めする工程を含む。本方法はまた、約30L/分〜約400L/分の間の速度でプラズマ溶射システムを通してプラズマガス(プラズマを生成するために使用されるガス)を流す工程と、セラミックスコーティングで物品をプラズマ溶射コーティングする工程を含む。セラミックスコーティングは、Y、Al、及びZrOの化合物を含み、物品上のコーティングのスプラットは、パンケーキ形状を有する。一実施形態では、化合物は、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物である。提供されたセラミックス及び提供されたプラズマ溶射設定を使用して、プラズマ溶射プロセスを実行することによって、パンケーキ形状のスプラットが作成される。これらのパンケーキ形状のスプラットは、内蔵された(内部)圧縮応力を有する緻密で滑らかな表面をコーティングに持たせる。セラミックスコーティングは、約2ミル〜約15ミルの範囲内の厚さを有することができる。
一実施形態では、セラミックスコーティングは、約53モル%のYと、約10モル%のZrOと、約37モル%のAlとを含む。プラズマ電流は、約540A〜約560Aの間の範囲とすることができ、プラズマ溶射システムのトーチスタンドオフは、約90mm〜約110mmの間の本体からの距離に位置決めすることができる。一実施形態では、プラズマ電流は約550Aであり、本体からの距離は約100mmである。プラズマガスは、30L/分〜約400L/分の速度でプラズマ溶射システムを通って流れることができる。実施形態では、トーチのノズルは、約6mmの直径を有する開口部を有することができ、トーチは、約700m/秒のラスター速度を有することができ、粉末の供給速度は、約20g/mとすることができる。
半導体チャンバコンポーネント(例えば、蓋、ライナー、及び処理キット)は、耐食性プラズマ溶射コーティングでコーティングすることができる。プラズマ溶射コーティングは、高い(例えば、約3%を超える)空孔率及びウェハ上の粒子の許容できないほどの高い数を引き起こす表面亀裂につながる内蔵された引張応力を有する可能性がある。更に、コーティング内の固有の多孔性に起因して、湿式洗浄中の化学攻撃が、コーティングの損傷及び/又は剥離をもたらす可能性がある。
実施形態に係るコーティングは、内蔵された(内部)圧縮応力を有する緻密で滑らかな表面を提供することができ、これはコーティング内の固有の多孔性及び亀裂を減少させ、ウェハ上の欠陥性能を向上させることができる。更に、実施形態に係るコーティングの耐食性は、標準的なコーティングよりも優れている可能性があり、これはコーティングを有するコンポーネントの有効寿命を増加させることができる。例えば、実施形態係るコーティングを有するセラミックス基板で形成された蓋は、低減された空孔率及び亀裂を有することができ、強化されたウェハ上の性能につながる。別の一例では、実施形態に係るコーティングを有する金属基板から形成されたライナーは、堅牢な湿式洗浄中に化学的攻撃に起因する損傷に対してより耐性をもつことができる。更に別の一例では、実施形態に係る、処理中にウェハを取り囲み、一般的に高い腐食速度を有する処理キットリングは、ウェハ上の粒子性能を向上させる、より少ない又は全く亀裂の無い滑らかなコーティングを有することができる。
実施形態によれば、コーティングは、プラズマ溶射によって形成され、溶射中のコーティング相及び応力を制御することにより、滑らかで緻密とすることができる。プラズマ溶射用粉末はまた、結晶相ではなく、アモルファス相となるように、溶射中に圧縮応力を有するように配合することができる。粉末材料は、コーティング堆積中に容易に完全に溶融するように配合することができる。粉末のスプラットは、コーティングプロセス条件に加えて粉末配合を制御することによって、亀裂の無い又はほとんど亀裂の無いパンケーキ形状に最適化することができる。本明細書で使用されるとき、パンケーキ形状という用語は、厚さよりも数桁大きい直径(又は長さ及び幅)を有する、概して円形、楕円形又は長楕円形を指す。
一実施形態では、コーティングは、主にアモルファス相とすることができ、溶射中に圧縮発展性応力を生じさせる可能性がある。コーティング堆積中、完全に溶融した粒子は、相変化せずにアモルファス相に固化することができる。固化時の相変化を回避することは、コーティングの体積変化に起因する亀裂の形成の発生率を減少させることができる。コーティングのスプラット内の亀裂は、ウェハ上の粒子の数の増加を含む悪いコーティング性能につながる可能性がある。
実施形態によれば、基板材料は、金属、金属酸化物、窒化物、炭化物、及びこれらの合金(例えば、Al、Al、AlN、Y、イットリア安定化ジルコニア(YSZ))などを含むことができる。
導体エッチングプロセスは、ガス混合物による導電性基板(例えば、Siウェハ)のプラズマ支援エッチングを含むことができる。図1に示されるように、導体エッチングにおいて、オンウェハレベルの粒子性能は、チャンバコンポーネント(例えば、ライナーキット100)に主に相関している。ライナーキット100は、前面120、裏面122、及び外径124を有し、これはチャンバ本体111、上部ライナー101、スリットバルブドア103、プラズマスクリーン(すなわち、ウェハの周りのグリル状の構造)、下部ライナー107、及びカソードライナー109を含むことができる。上部ライナー101、スリットバルブドア103、及び下部ライナー107は、チャンバ本体111により近く、一方、プラズマスクリーン105は、ウェハの周囲に位置し(図示されないが、動作中に位置130に位置する)、カソードライナー109は、ウェハの下にある。
標準的なライナーキットは、約100〜270μinの表面粗さを有する8〜12ミルのプラズマ溶射Y(イットリア)又は他のセラミックスでコーティングされたAl基板で構成することができる。最も典型的な半導体用途に対して、ウェハ上の粒子の仕様は、90nm以上の粒子サイズで約30の付加物(例えば、ウェハ上に位置する30の浮遊粒子)の最大値である。標準的なYライナーキットは、このウェハ上の粒子仕様を満たしている。
28nmのデバイスノードでの特定の高度なアプリケーションに対しては、ウェハ上の粒子仕様は、45nm以上のサイズで1.3以下の付加物とはるかに厳しい。更に、これらのアプリケーションは、多くの場合、ウェハ上の粒子汚染を増大させる還元化学(H、CH、CO、COS、等)を使用する可能性がある。還元化学下での従来のYコーティングされたライナーキットを用いたチャンバ試験は、高いウェハ上の粒子(例えば、45nm以上の粒子サイズで、約50〜100以上の付加物)を示す。いくつかの例では、かなりのチャンバの慣らし運転(シーズニング)(例えば、100〜150高周波RF時間の処理)は、生産する前に、生産仕様に適合する45nm以上の粒子サイズで約0〜10の付加物まで粒子欠陥レベルを低減させることができる。しかしながら、長いチャンバの慣らし運転時間は、生産性を低減させる可能性がある。試験では、エネルギー分散型X線分光法は、従来のY系のウェハ上の粒子がライナーキットに由来することを認めている。更に、Yコーティングは、還元化学(例えば、H、CH、CO、COS、等)下ではあまり安定ではなく、かなりのY−OHを形成する。Y−OHの転換は、ウェハ上の脱落粒子をもたらす体積変化を引き起こす。
本発明の実施形態は、半導体産業用途でのチャンバコンポーネントのためのウェハ上の粒子性能を向上させるために、複合セラミックスコーティング材料を含む。例えば、ライナーキットの用途では、複合セラミックスコーティング(例えば、イットリア系複合セラミックスコーティング)は、プラズマ溶射技術を用いて、ライナーキットのプラズマ対向面に塗布することができる。他の実施形態では、複合セラミックスコーティングは、エアロゾルデポジション、スラリープラズマ、又は他の適切な技術(例えば、他の溶射技術)を介して塗布することができる。一例では、アルミニウムライナーキット上のコーティング厚さは、最大15ミルとすることができる。別の一例では、Al又は他の金属酸化物基板は、コーティングの熱膨張係数(CTE)を基板のCTEにより良好に一致させる場合、より厚いコーティングを有することができる。
一実施形態では、複合セラミックスコーティングは、Y、Al、及びZrOの化合物で構成される。例えば、一実施形態では、複合セラミックスコーティングは、約53モル%のYと、約10モル%のZrOと、約37モル%のAlとを含む。別の一実施形態では、複合セラミックスコーティングは、20〜90モル%の範囲内のYと、0〜80モル%の範囲内のZrOと、10〜70モル%の範囲内のAlとを含むことができる。他の実施形態では、他の配分もまた、複合セラミックスコーティングのために使用することができる。一実施形態では、複合セラミックスは、ZrO、Al、HfO、Er、Nd、Nb、CeO、Sm、Yb、又はこれらの組み合わせのうちの1以上と混合することができる酸化イットリウム含有固溶体である。一実施形態では、化合物は、YAlと、Y−ZrOの固溶体とを含むセラミックス化合物である。
複合セラミックスコーティングは、前述した特性を有するスプラットを生成する粉末混合物及びプラズマ溶射パラメータを使用して作成することができる。これらのスプラットは、複合セラミックスコーティングは、内蔵圧縮応力を持たせる。内蔵圧縮応力は、堆積プロセス中にセラミックスコーティングに一体化された内部圧縮応力である。
図2は、製造システム200の例示的なアーキテクチャを示す。製造システム200は、(例えば、物品(例えば、ライナキット)に複合セラミックスコーティングを施すための)コーティング製造システムであってもよい。一実施形態では、製造システム200は、機器自動化レイヤー215に接続された処理機器201を含む。処理機器201は、ビーズブラスター202、1以上の湿式洗浄装置203、プラズマ溶射銃システム204及び/又は他の機器を含むことができる。製造システム200は、機器自動化レイヤー215に接続された1以上のコンピューティングデバイス220を更に含むことができる。代替の実施形態では、製造システム200は、より多くの又はより少ないコンポーネントを含むことができる。例えば、製造システム200は、機器自動化レイヤー215又はコンピューティングデバイス220なしで、手動操作(例えば、オフライン)の処理機器201を含んでもよい。
ビーズブラスター202は、物品(例えば、ライナキット)の表面を粗面化又は平滑化するように構成された機械である。ビーズブラスター202は、ビーズブラストキャビネット、ハンドヘルドビーズブラスター、又は他のタイプのビーズブラスターであってもよい。ビーズブラスター202は、ビーズ又は粒子を基板に衝突させることによって、基板を粗面化することができる。一実施形態では、ビーズブラスター202は、基板にセラミックスビーズ又は粒子を発射する。ビーズブラスター202によって達成された粗さは、ビーズを発射するために使用される力、ビーズ材料、ビーズサイズ、基板からのビーズブラスターの距離、処理時間などに基づく可能性がある。一実施形態では、ビーズブラスターは、セラミックス物品を粗面化するために、ある範囲のビーズサイズを使用する。
代替の実施形態では、ビーズブラスター202ではなく他のタイプの表面粗面化装置が使用されてもよい。例えば、電動砥粒(研磨)パッドを用いて、セラミックス基板の表面を粗面化してもよい。サンダーは、砥粒パッドを物品の表面に押し付けながら砥粒パッドを回転または振動させることができる。砥粒パッドにより達成される粗さは、印加された圧力、振動又は回転速度、及び/又は砥粒パッドの粗さに依存する可能性がある。
湿式洗浄装置203は、湿式洗浄プロセスを使用して物品(例えば、ライナキット)を洗浄する洗浄装置である。湿式洗浄装置203は、基板を洗浄するために基板を浸漬させる液体で満たされた湿式浴を含む。湿式洗浄装置203は、洗浄効果を向上させるために、洗浄中に超音波を用いて湿式浴を撹拌することができる。本明細書では、これを湿式浴の超音波処理と呼ぶ。他の実施形態では、代替のタイプの洗浄装置(例えば、乾式洗浄装置)を用いて物品を洗浄してもよい。乾式洗浄装置は、熱を印加する、気体を印加する、又はプラズマを印加するなどによって物品を洗浄することができる。
セラミックスコータ204は、基板の表面にセラミックスコーティングを施すように構成された機械である。一実施形態では、セラミックスコータ204は、基板(例えば、ライナキット)上にコーティング(例えば、複合セラミックスコーティング)をプラズマ溶射するプラズマ溶射装置(又はプラズマ溶射システム)である。代替の実施形態では、セラミックスコータ204は、他の熱溶射技術を適用することができる(例えば、デトネーション溶射、ワイヤアーク溶射、高速酸素燃料(HVOF)溶射、フレーム溶射、ウォームスプレー及びコールドスプレーを使用することができる)。
機器自動化レイヤー215は、製造機械201の一部又は全部をコンピューティングデバイス220と、他の製造機械と、計測ツール及び/又は他のデバイスと相互接続することができる。機器自動化レイヤー215は、ネットワーク(例えば、位置エリアネットワーク(LAN))、ルータ、ゲートウェイ、サーバ、データストアなどを含むことができる。製造機械201は、SEMI Equipment Communications Standard/Generic Equipment Model(SECS/GEM)インターフェースを介して、イーサネット(登録商標)インターフェースを介して、及び/又は他のインターフェースを介して、機器自動化レイヤー215に接続することができる。一実施形態では、機器自動化レイヤー215は、プロセスデータ(例えば、プロセス実行中に製造機械201によって収集されたデータ)をデータストア(図示せず)に保存可能にする。代替の一実施形態では、コンピューティングデバイス220は、1以上の製造機械201に直接接続する。
一実施形態では、一部又は全部の製造機械201は、プロセスレシピをロード、ストア、及び実行することができるプログラマブルコントローラを含む。プログラマブルコントローラは、製造機械201の温度設定、ガス及び/又は真空の設定、時間の設定等を制御することができる。プログラマブルコントローラは、メインメモリ(例えば、リードオンリーメモリ(ROM)、フラッシュメモリ、ダイナミックランダムアクセスメモリ(DRAM)、スタティックランダムアクセスメモリ(SRAM)など)、及び/又は二次メモリ(例えば、データ記憶装置(例えば、ディスクドライブ))を含むことができる。メインメモリ及び/又は二次メモリは、本明細書に記載の熱処理プロセスを実行するための命令を記憶することができる。
プログラマブルコントローラはまた、メインメモリ及び/又は二次メモリに(例えば、バスを介して)結合された処理デバイスを含み、これによって命令を実行することができる。処理デバイスは、汎用処理デバイス(例えば、マイクロプロセッサ、中央処理装置等)であってもよい。処理デバイスはまた、専用処理デバイス(例えば、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、デジタル信号プロセッサ(DSP)、ネットワークプロセッサ等)であってもよい。一実施形態では、プログラマブルコントローラは、プログラマブルロジックコントローラ(PLC)である。
一実施形態では、製造機械201は、製造機械に基板を粗面化させる、基板及び/又は物品を洗浄させる、物品をコーティングさせる、及び/又は物品を加工(例えば、研削又は研磨)させるレシピを実行するようにプログラミングされている。一実施形態では、製造機械201は、以下の図を参照して説明されるように、セラミックスコーティングされた物品を製造するための複数工程のプロセスの操作を実行するレシピを実行するようにプログラミングされている。コンピューティングデバイス220は、製造機械201にダウンロードすることができる1以上のセラミックスコーティングのレシピ225を格納し、これによって製造機械201に本開示の実施形態に係るセラミックスコーティングされた物品を製造させることができる。
図3は、誘電体エッチングコンポーネント、又は腐食性のシステム内で使用される他の物品(例えば、ライナキット)上にコーティングをプラズマ溶射するためのシステム300の断面図を示す。システム300は、熱溶射システムのタイプである。プラズマ溶射システム300では、アーク302が、2つの電極、陽極304と陰極316の間に形成され、これらを通してプラズマガス318がガス送出チューブ302を介して流れる。プラズマガス318は、2以上のガスの混合物とすることができる。プラズマ溶射システム300内で使用するのに適したガス混合物の例としては、アルゴン/水素、アルゴン/ヘリウム、窒素/水素、窒素/ヘリウム、又はアルゴン/酸素を含むが、これらに限定されない。第1ガス(スラッシュの前のガス)が一次ガスを表し、第2ガス(スラッシュの後のガス)が二次ガスを表す。一次ガスのガス流量は、二次ガスのガス流量と異なっていてもよい。一実施形態では、一次ガスのガス流量は、約30L/分と約400L/分の間である。一実施形態では、二次ガスのガス流量は、約3L/分〜約100L/分の間である。
プラズマガスは、アーク306によってイオン化及び加熱されるので、ガスは膨張し、成形ノズル320を通って加速され、高速プラズマ流を生成する。
粉末308は、激しい温度が粉末を融解し、物品310へと向かう溶融粒子314の流れとして材料を推進させるプラズマスプレー又はトーチ内に(例えば、粉末推進ガスによって)注入される。物品310に衝突するとすぐに、溶融した粉末は平らになり、急速に固化し、物品310に固着するコーティング312を形成する。コーティング312の厚さ、密度、及び粗さに影響を与えるパラメータは、粉末の種類、粉末の粒度分布、粉末供給速度、プラズマガス組成、プラズマガス流量、エネルギー入力、トーチオフセット距離、及び基板冷却などを含む。図4を参照して説明したように。これらのパラメータは、実施形態に従って、内蔵した圧縮応力を有する高密度プラズマ溶射コーティングを形成するために最適化される。
図4は、一実施形態に係る、コーティングされた物品を製造するためのプロセス400を示すフローチャートである。プロセス400の操作は、様々な製造機械によって実行することができる。プロセス400の操作は、反応性イオンエッチング又はプラズマエッチングシステム内で使用することができる上記のような任意の物品を参照して説明される。
ブロック402では、コーティングをプラズマ溶射するための粉末が、最適化される。これは、複合セラミックスコーティング用の粉末の組成、粉末の形状及び粉末の粒度分布の最適化を含んでもよい。一実施形態では、コーティングの最適化は、粉末の種類(例えば、化学組成)、平均粉末サイズ、及び粉末供給速度を決定することを含むが、これらに限定されない。粉末の種類は、前述のようなコーティングを生成するように選択することができる。特定の組成、純度、及び粒子サイズを有する原料セラミックス粉末を選択することができる。セラミックス粉末は、Y、YAl、YAl12(YAG)、又は他のイットリア含有セラミックスで形成することができる。また、セラミックス粉末は、Y、ZrO、Al、HfO、Er、Nd、Nb、CeO、Sm、Yb、又は他の酸化物及び/又はガラス粉末のうちの1以上と組み合わせることができる。原料セラミックス粉末は、その後混合される。一実施形態では、Y、Al、及びZrOの原料セラミックス粉末が、複合セラミックスコーティング用に共に混合される。一実施形態では、粉末の配合は、およそ53モル%のYと37モル%のAlと10モル%のZrOである。これらの原料セラミックス粉末は、一実施形態では、99.9%以上の純度を有することができる。原料セラミックス粉末は、例えば、ボールミルを用いて混合することができる。セラミックス粉末は、混合された後、特定の焼成時間及び温度で焼成することができる。
一実施形態では、セラミックス化合物は、62.93モル比(モル%)のYと、23.23モル%のZrOと、13.94モル%のAlを含む。別の一実施形態では、セラミックス化合物は、50〜75モル%の範囲内のYと、10〜30モル%の範囲内のZrOと、10〜30モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜100モル%の範囲内のYと、0〜60モル%の範囲内のZrOと、0〜10モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、30〜50モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜50モル%の範囲内のYと、20〜40モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、70〜90モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、10〜20モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、60〜80モル%の範囲内のYと、0〜10モル%の範囲内のZrOと、20〜40モル%の範囲内のAlを含むことができる。別の一実施形態では、セラミックス化合物は、40〜60モル%の範囲内のYと、0〜20モル%の範囲内のZrOと、30〜40モル%の範囲内のAlを含むことができる。他の実施形態では、他の配分もまた、セラミックス化合物のために使用することができる。
一実施形態では、粉末は、プラズマ溶射中にアモルファス相を維持するために最適化される。一例では、アモルファス相は、粉末配合を制御することによって制御することができる。特殊配合した粉末は、相変化せずにアモルファス相に直接固化することができる。
ブロック404では、プラズマ溶射パラメータが最適化され、これによって粉末の溶融を最大化し、表面小塊の数を減らし、スプラット面を増加させ、粗さを低減させ、空孔率を減少させる。また、プラズマ溶射パラメータは最適化され、これによって粉末粒子を完全に溶融させ、これらの完全に溶融した粒子を、相変化を受けることなく、アモルファス相に固化させる。実施形態では、プラズマ溶射パラメータは最適化され、これによってプラズマ溶射中の材料のパンケーキ形状のスプラットを生成する。パンケーキ形状のスプラットは、互いの上に堆積し、セラミックスコーティングを形成するパンケーキ形状のスプラットの多くの層を構築する。一実施形態では、プラズマ溶射パラメータを最適化することは、プラズマ銃電力及び溶射キャリアガスの組成を決定することを含むが、これらに限定されない。プラズマ溶射パラメータを最適化することはまた、基板(例えば、プラズマスクリーン)上にコーティング(例えば、複合セラミックスコーティング)を塗布するための特定の溶射コーティングシーケンス及びプロセス条件を決定することを含むことができる。
例えば、表Aは、プラズマ溶射中にパンケーキ形状のスプラットを達成するための実施例のコーティングプロセスパラメータを示す。
一実施形態では、パラメータは最適化され、これによって融解を最大化させ、(粉末の融解の増加を示すことができる)小塊の数を減少させ、(粉末の融解の増加を示すことができる)スプラット面を増加させ、表面粗さを減少させ、コーティングの空孔率を減少させ、これらは、粒子が追い出される可能性が低いため、ウェハ上の粒子数を減少させる。また、パラメータは最適化され、これによって相変化を受けることなく、溶融した粒子をアモルファス相に固化させる。
例えば、最適化されたプラズマ電流は、約400A〜約1000Aの間の範囲内とすることができる。更なる最適化されたプラズマ電流は、約500A〜約800Aの間の範囲内とすることができる。別の一例では、プラズマ溶射システムのトーチスタンドオフの最適化された位置決めは、約50mm〜約250mmの間の物品(例えば、ライナーキット又はプラズマスクリーン)からの距離とすることができる。トーチスタンドオフの更なる最適化された位置決めは、約70mm〜約200mmの間の物品からの距離とすることができる。更に別の一例では、プラズマ溶射システムを通る最適化されたガス流は、約40L/分〜約400L/分の間の速度とすることができる。プラズマ溶射システムを通る更なる最適化されたガス流は、約50L/分〜約300L/分の間の速度とすることができる。
ブロック406では、物品は、選択されたパラメータに従ってコーティングされる。熱溶射技術及びプラズマ溶射技術は、材料(例えば、セラミックス粉末)を溶融し、選択したパラメータを使用して物品上に溶融した材料を噴霧することができる。セラミックス粉末は、堆積中に完全に溶融することができ、ターゲット本体によって衝撃を与えることができ、これによってターゲット本体上に比較的大きなパンケーキ形状のスプラットを形成する。熱溶射又はプラズマ溶射セラミックスコーティングは、多くの重なったパンケーキ形状のスプラットの積み重ねで構成することができる。概念的には、セラミックスコーティングは、単一コーティングを形成するパンケーキ形状のスプラットを重ね合わせた多くの層で構成される。熱溶射又はプラズマ溶射セラミックスコーティングは、約2〜15ミルの厚さを有することができる。厚さは、一例では、物品がプラズマ環境への曝露の少なくとも約5000高周波時間(RF時間)の寿命を有することを確実にするために、複合セラミックスコーティングの浸食速度に応じて選択され、ここでRF時間は、コンポーネントが処理に使用される時間数の尺度である。換言すれば、複合セラミックスコーティングの浸食速度が約0.005ミル/時間ならば、約2500RF時間の有用な寿命のために、約12.5ミルの厚さを有するセラミックスコーティングを形成することができる。
プラズマ溶射プロセスは、複数の溶射パスで実行することができる。各パスに対して、プラズマ溶射ノズルの角度は、溶射されている面に対してある相対角度を維持するように変えることができる。例えば、プラズマ溶射ノズルは、溶射される物品の表面と約45度〜約90度の角度を維持するように回転させることができる。
一実施形態では、プラズマ溶射シーケンスは最適化され、これによって改善されたコーティング(例えば、より少ない空孔率、減少した表面小塊、大きなパンケーキ形状のスプラット、及び減少した表面粗さ)を達成し、並びにコーティング表面上への(ほとんどの場合、物品の裏面コーティング由来の)浮遊粒子の再付着を低減させることができる。
ブロック408では、プラズマコーティングの特徴付けを実行することができる。これは、など、表面形態の決定、粗さ、空孔率、表面小塊の識別などを含むことができる。
図5は、スプラット面の例示的走査型電子顕微鏡(SEM)図を示す。図501は、20ミクロンスケールを有する3000倍の拡大写真(例えば、1インチのサンプルの3000倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示す。図502は、50ミクロンスケールを有する1000倍の拡大写真(例えば、1インチのサンプルの1000倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示す。図503は、コーティングのために亀裂の無いパンケーキ形状のスプラットを形成するために粉末配合及びプラズマ溶射が最適化された一実施形態に係る、100ミクロンスケールを有する500倍の拡大写真(例えば、1インチのサンプルの500倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示す。図504は、20ミクロンスケールを有する3000倍の拡大写真(例えば、1インチのサンプルの3000倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示す。図505は、50ミクロンスケールを有する1000倍の拡大写真(例えば、1インチのサンプルの1000倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示す。図506は、100ミクロンスケールを有する500倍の拡大写真(例えば、1インチのサンプルの500倍の走査型電子顕微鏡写真(SEM))上のコーティングのスプラットを示し、ここでコーティングのために亀裂の無いパンケーキ形状のスプラットを形成するために粉末配合及びプラズマ溶射が最適化されていない。
図5に示されるように、パンケーキ形状のスプラットを有するように最適化されたコーティングの図501、502、及び503は、コーティングの図504、505、及び506と比較して、より少ない亀裂又は全く亀裂が無いことを示している。例えば、パンケーキ形状のスプラットは、ほぼ丸と平面である円盤状の形状を有することができる。図501、502、及び503のスプラットは、滑らかな、亀裂のない、丸いエッジと、図504、505、及び506のスプラットよりも円盤状の外観を有する。パンケーキ形状のスプラットを形成するために最適化された粉末及び溶射によって形成されたコーティングの評価は、他の形状のスプラットを有するコーティングに比べて改善された形態と空孔率を示した。例えば、実施形態に係るコーティングは、粉末の改良された溶融、減少した粗さ、及び減少した空孔率に起因して、より少ない小塊及びより多くのスプラットを有する傾向があり、これらの全ては、ウェハ上の粒子性能に寄与する。
図6は、溶射中のコーティングのインサイチューの曲率変化を示し、ここでグラフ601は、比較コーティングを示し、グラフ602は、一実施形態に係るコーティングを示す。曲率変化は、溶射中のコーティングの応力レベルの指標である。グラフ601は、引張応力を示すことができ、概してより多くの立方晶相でできたコーティングの結果である可能性のある正の曲率変化を示す。グラフ602は、圧縮応力を示すことができ、概してより多くのアモルファス相でできたコーティングの結果である可能性のある負の曲率変化を示す。
前述の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、具体的なシステム、コンポーネント、方法等の例などの多数の具体的な詳細を説明している。しかしながら、本開示の少なくともいくつかの実施形態は、これらの具体的な詳細なしに実施することができることが当業者には明らかであろう。他の例において、周知のコンポーネント又は方法は、本発明を不必要に不明瞭にしないために、詳細には説明しないか、単純なブロック図形式で提示されている。したがって、説明された具体的な詳細は、単なる例示である。特定の実装では、これらの例示的な詳細とは異なる場合があるが、依然として本開示の範囲内にあることが理解される。
本明細書全体を通して「1つの実施形態」又は「一実施形態」への参照は、その実施形態に関連して記載された特定の構成、構造、又は特性が少なくとも1つの実施形態に含まれることを意味している。したがって、本明細書を通じて様々な場所における「1つの実施形態では」又は「一実施形態では」という語句の出現は、必ずしも全て同じ実施形態を指すものではない。また、用語「又は」は、排他的な「又は」ではなく包含的な「又は」を意味することを意図している。用語「約」及び「およそ」は、±10%以内の値を指す。
本明細書内の本方法の操作が、特定の順序で図示され説明されているが、特定の操作を逆の順序で行うように、又は特定の操作を少なくとも部分的に他の操作と同時に実行するように、各方法の操作の順序を変更することができる。別の一実施形態では、異なる操作の命令又は副操作は、断続的及び/又は交互の方法であることができる。
なお、上記の説明は例示であり、限定的ではないことを意図していることが理解されるべきである。上記の説明を読み理解することにより、多くの他の実施形態が当業者にとって明らかとなるであろう。したがって、本発明の範囲は、添付の特許請求の範囲を、そのような特許請求の範囲が権利を与える均等物の全範囲と共に参照して決定されるべきである。

Claims (15)

  1. Al、Al、AlN、Y、YSZ、又はSiCのうちの少なくとも1つを含む本体と、
    本体の少なくとも1つの表面上のプラズマ溶射セラミックスコーティングであって、セラミックスコーティングは、Y、Al、及びZrOを含む化合物を含み、複数の重なったパンケーキ形状のスプラットを更に含み、セラミックスコーティングは、アモルファス相を有するプラズマ溶射セラミックスコーティングとを含む物品。
  2. セラミックスコーティングは、約53モル%のYと、約10モル%のZrOと、約37モル%のAlとを含む、請求項1記載の物品。
  3. セラミックスコーティングは、
    50〜75モル%のY、10〜30モル%のZrO、及び10〜30モル%のAl
    40〜100モル%のY、0〜60モル%のZrO、及び0〜10モル%のAl
    40〜60モル%のY、30〜50モル%のZrO、及び10〜20モル%のAl
    40〜50モル%のY、20〜40モル%のZrO、及び20〜40モル%のAl
    70〜90モル%のY、0〜20モル%のZrO、及び10〜20モル%のAl
    60〜80モル%のY、0〜10モル%のZrO、及び20〜40モル%のAl
    40〜60モル%のY、0〜20モル%のZrO、及び30〜40モル%のAl
    30〜60モル%のY、0〜20モル%のZrO、及び30〜60モル%のAl;及び
    20〜40モル%のY、20〜80モル%のZrO、及び0〜60モル%のAlからなるリストから選択される組成を有する、請求項1記載の物品。
  4. セラミックスコーティングは、内部圧縮応力を有する、請求項1記載の物品。
  5. 物品は、前面、裏面、上部ライナー、スリットバルブドア、プラズマスクリーン、下部ライナー、及びカソードライナーを含むライナーキットを含む、請求項1記載の物品。
  6. パンケーキ形状のスプラットの大部分は亀裂を欠いている、請求項1記載の物品。
  7. セラミックスコーティングは、2〜15ミルの厚さと、プラズマ環境内での処理の少なくとも5000時間の寿命とを有する、請求項1記載の物品。
  8. プラズマ溶射システム用にプラズマ電流を約100A〜約1000Aの値に設定する工程と、
    約60mm〜約250mmの間の本体からのトーチスタンドオフ距離にプラズマ溶射システムのトーチを位置決めする工程と、
    約30L/分〜約400L/分の間の速度でプラズマ溶射システムを通して第1ガスを流す工程と、
    内部圧縮応力及びアモルファス相を有するセラミックスコーティングを本体上に形成するためにプラズマ溶射コーティングを実行する工程を含み、セラミックスコーティングは、Y、Al、及びZrOの化合物を含み、セラミックスコーティングのスプラットは、パンケーキ形状を有する方法。
  9. セラミックスコーティングは、約53モル%のYと、約10モル%のZrOと、約37モル%のAlとを含む、請求項10記載の方法。
  10. セラミックスコーティングは、
    50〜75モル%のY、10〜30モル%のZrO、及び10〜30モル%のAl
    40〜100モル%のY、0〜60モル%のZrO、及び0〜10モル%のAl
    40〜60モル%のY、30〜50モル%のZrO、及び10〜20モル%のAl
    40〜50モル%のY、20〜40モル%のZrO、及び20〜40モル%のAl
    70〜90モル%のY、0〜20モル%のZrO、及び10〜20モル%のAl
    60〜80モル%のY、0〜10モル%のZrO、及び20〜40モル%のAl
    40〜60モル%のY、0〜20モル%のZrO、及び30〜40モル%のAl
    30〜60モル%のY、0〜20モル%のZrO、及び30〜60モル%のAl;及び
    20〜40モル%のY、20〜80モル%のZrO、及び0〜60モル%のAlからなるリストから選択される組成を有する、請求項8記載の方法。
  11. プラズマ電流は、約450A〜約800Aの間の範囲内にあり、プラズマ溶射システムのトーチスタンドオフ距離は、約60mm〜約200mmの間にある、請求項8記載の方法。
  12. 第1ガスは、一次ガス及び二次ガスを含むガス混合物のうちの一次ガスであり、ガス混合物は、アルゴンと水素の混合物、アルゴンとヘリウムの混合物、窒素と水素の混合物、窒素とヘリウムの混合物、及びアルゴンと酸素の混合物からなるリストから選択される、請求項18記載の方法。
  13. 二次ガスは、約3L/分〜約100L/分の間の速度でプラズマ溶射システムを通って流れる、請求項12記載の方法。
  14. スプラットは、相変化を受けずにアモルファス相内で固化する、請求項8記載の方法。
  15. プラズマ溶射コーティングを実行するプラズマ溶射システムは、約6mmのノズル直径を有する、請求項8記載の方法。
JP2017512875A 2014-05-16 2015-05-15 相及び応力制御を使用したプラズマ溶射コーティング設計 Active JP7033919B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461994648P 2014-05-16 2014-05-16
US61/994,648 2014-05-16
US14/712,054 US10196728B2 (en) 2014-05-16 2015-05-14 Plasma spray coating design using phase and stress control
US14/712,054 2015-05-14
PCT/US2015/031172 WO2015175987A1 (en) 2014-05-16 2015-05-15 Plasma spray coating design using phase and stress control

Publications (3)

Publication Number Publication Date
JP2017515985A true JP2017515985A (ja) 2017-06-15
JP2017515985A5 JP2017515985A5 (ja) 2018-05-31
JP7033919B2 JP7033919B2 (ja) 2022-03-11

Family

ID=54480808

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017512875A Active JP7033919B2 (ja) 2014-05-16 2015-05-15 相及び応力制御を使用したプラズマ溶射コーティング設計

Country Status (5)

Country Link
US (3) US10196728B2 (ja)
JP (1) JP7033919B2 (ja)
KR (2) KR102410645B1 (ja)
CN (2) CN113620710B (ja)
WO (1) WO2015175987A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190078384A (ko) * 2017-12-26 2019-07-04 주식회사 포스코 용사 코팅 장치
WO2021188362A1 (en) * 2020-03-19 2021-09-23 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
CN105648386B (zh) * 2016-02-18 2018-12-07 中国科学院上海硅酸盐研究所 热喷涂氧化铝–氧化钇复合陶瓷涂层及其制备方法
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
DE102016009730A1 (de) * 2016-07-28 2018-02-01 Forschungszentrum Jülich GmbH Verfahren zur Verstärkung von transparenten Keramiken sowie Keramik
US10186400B2 (en) 2017-01-20 2019-01-22 Applied Materials, Inc. Multi-layer plasma resistant coating by atomic layer deposition
US10755900B2 (en) 2017-05-10 2020-08-25 Applied Materials, Inc. Multi-layer plasma erosion protection for chamber components
US11279656B2 (en) 2017-10-27 2022-03-22 Applied Materials, Inc. Nanopowders, nanoceramic materials and methods of making and use thereof
CN111566780B (zh) * 2018-01-15 2023-12-01 应用材料公司 添加氩至远程等离子体氧化
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US10443126B1 (en) 2018-04-06 2019-10-15 Applied Materials, Inc. Zone-controlled rare-earth oxide ALD and CVD coatings
US11667575B2 (en) 2018-07-18 2023-06-06 Applied Materials, Inc. Erosion resistant metal oxide coatings
BE1026683B1 (nl) * 2018-10-05 2020-05-07 Soleras Advanced Coatings Bvba Sputterdoel
CN109207917B (zh) * 2018-10-19 2020-08-04 南京航空航天大学 一种NiCrAlSi/CeO2掺杂YSZ热障涂层及其制备方法
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
US10858741B2 (en) 2019-03-11 2020-12-08 Applied Materials, Inc. Plasma resistant multi-layer architecture for high aspect ratio parts
CN109987947A (zh) * 2019-04-19 2019-07-09 中国科学院上海硅酸盐研究所 利用原位应力诱导相变同步优化氧化铝涂层导热与力学性能的方法
KR102042559B1 (ko) * 2019-05-30 2019-12-02 (주)쉬엔비 플라즈마를 이용하여 피부미용 및 피부재생이 가능한 플라즈마 핸드피스 및 이를 이용한 플라즈마 발생장치
CN110904361B (zh) * 2019-12-16 2020-10-30 北京君山表面技术工程有限公司 等离子喷涂用镍基合金复合粉末及熔覆涂层的制备方法
US20230051800A1 (en) * 2020-01-27 2023-02-16 Applied Materials, Inc. Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
CN112251706B (zh) * 2020-09-20 2022-05-17 苏州纳创佳环保科技工程有限公司 一种聚碳硅烷/氧化锆的激光防护复合涂层的制备方法
DE102020126082A1 (de) 2020-10-06 2022-04-07 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer Beschichtung sowie Beschichtung
CN115852294B (zh) * 2022-12-28 2023-08-01 西安交通大学 一种基于应力调控的含表面裂纹热障涂层及其制备方法

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP2004091269A (ja) * 2002-08-30 2004-03-25 Rikogaku Shinkokai 多相セラミックス用溶融体ならびにその鋳造および被覆方法
JP2005097722A (ja) * 2003-08-25 2005-04-14 Tosoh Corp 耐蝕性部材及びその製造方法
JP2005534814A (ja) * 2002-08-02 2005-11-17 スリーエム イノベイティブ プロパティズ カンパニー プラズマ溶射
JP2008045211A (ja) * 2006-08-18 2008-02-28 United Technol Corp <Utc> タービンエンジンコンポーネント及びタービンエンジンコンポーネントのコーティング方法
JP2009068066A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP2011117012A (ja) * 2009-11-30 2011-06-16 Mitsubishi Heavy Ind Ltd 遮熱コーティングの施工方法、耐熱部材及びガスタービン
US20130143037A1 (en) * 2010-07-09 2013-06-06 Teknologian Tutkimuskeskus Vtt Thermally sprayed completely amorphic oxide coating
WO2013162909A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
WO2014018830A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2002060943A (ja) * 2000-08-22 2002-02-28 Tohoku Electric Power Co Inc 高純度シリコンの被覆方法及び装置
JP4277973B2 (ja) * 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
RU2004101636A (ru) 2001-08-02 2005-06-10 3М Инновейтив Пропертиз Компани (US) Материалы на основе оксида алюминия, оксида иттрия, оксида циркония/оксида гафния и способы их изготовления и использования
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20040148868A1 (en) * 2003-02-05 2004-08-05 3M Innovative Properties Company Methods of making ceramics
EP1524682B1 (en) * 2003-10-17 2011-10-05 Tosoh Corporation Component for vacuum apparatus, production method thereof and apparatus using the same
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
DE102004044597B3 (de) * 2004-09-13 2006-02-02 Forschungszentrum Jülich GmbH Verfahren zur Herstellung dünner, dichter Keramikschichten
US7672110B2 (en) 2005-08-29 2010-03-02 Applied Materials, Inc. Electrostatic chuck having textured contact surface
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US7655328B2 (en) 2006-04-20 2010-02-02 Shin-Etsu Chemical Co., Ltd. Conductive, plasma-resistant member
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US7718559B2 (en) 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
CA2658210A1 (en) * 2008-04-04 2009-10-04 Sulzer Metco Ag Method and apparatus for the coating and for the surface treatment of substrates by means of a plasma beam
US9725797B2 (en) * 2008-04-30 2017-08-08 United Technologies Corporation Process for forming an improved durability thick ceramic coating
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP2011177743A (ja) * 2010-03-01 2011-09-15 Honda Motor Co Ltd プラズマ溶接トーチ、及びプラズマ溶接トーチを用いた溶接方法
US8619406B2 (en) 2010-05-28 2013-12-31 Fm Industries, Inc. Substrate supports for semiconductor applications
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
CN103194715B (zh) * 2012-01-05 2015-07-01 中国科学院微电子研究所 一种大气等离子喷涂技术制备非晶Y3Al5O12涂层的方法
KR101382591B1 (ko) * 2012-02-03 2014-04-10 주식회사케이세라셀 정전척 제조용 플라즈마 스프레이 코팅 재료 및 이의 제조방법
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
WO2013140668A1 (ja) 2012-03-22 2013-09-26 トーカロ株式会社 フッ化物溶射皮膜の形成方法およびフッ化物溶射皮膜被覆部材
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
KR101637801B1 (ko) 2012-05-22 2016-07-07 가부시끼가이샤 도시바 플라즈마 처리 장치용 부품 및 플라즈마 처리 장치용 부품의 제조 방법
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
JP6246567B2 (ja) 2012-11-22 2017-12-13 群馬県 複層皮膜付き基材およびその製造方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
WO2014142019A1 (ja) 2013-03-13 2014-09-18 株式会社 フジミインコーポレーテッド 溶射用粉末、溶射皮膜、及び溶射皮膜の形成方法
US9816392B2 (en) * 2013-04-10 2017-11-14 General Electric Company Architectures for high temperature TBCs with ultra low thermal conductivity and abradability and method of making
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US20150079370A1 (en) 2013-09-18 2015-03-19 Applied Materials, Inc. Coating architecture for plasma sprayed chamber components
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
CN104823116B (zh) 2013-11-21 2017-04-26 京瓷办公信息系统株式会社 定影装置以及具有该定影装置的图像形成装置
JP5894198B2 (ja) 2014-01-06 2016-03-23 株式会社フジミインコーポレーテッド 溶射用スラリー及び溶射皮膜の形成方法
KR20160119187A (ko) 2014-03-31 2016-10-12 가부시끼가이샤 도시바 내플라즈마 부품 및 내플라즈마 부품의 제조 방법 및 내플라즈마 부품의 제조에 사용하는 막 퇴적 장치
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP2005521868A (ja) * 2002-03-27 2005-07-21 アプライド マテリアルズ インコーポレイテッド テックスチャコーティングを有するチャンバ要素の評価方法
JP2005534814A (ja) * 2002-08-02 2005-11-17 スリーエム イノベイティブ プロパティズ カンパニー プラズマ溶射
JP2004091269A (ja) * 2002-08-30 2004-03-25 Rikogaku Shinkokai 多相セラミックス用溶融体ならびにその鋳造および被覆方法
JP2005097722A (ja) * 2003-08-25 2005-04-14 Tosoh Corp 耐蝕性部材及びその製造方法
JP2008045211A (ja) * 2006-08-18 2008-02-28 United Technol Corp <Utc> タービンエンジンコンポーネント及びタービンエンジンコンポーネントのコーティング方法
JP2009068066A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP2009068067A (ja) * 2007-09-13 2009-04-02 Covalent Materials Corp 耐プラズマ性セラミックス溶射膜
JP2011117012A (ja) * 2009-11-30 2011-06-16 Mitsubishi Heavy Ind Ltd 遮熱コーティングの施工方法、耐熱部材及びガスタービン
US20130143037A1 (en) * 2010-07-09 2013-06-06 Teknologian Tutkimuskeskus Vtt Thermally sprayed completely amorphic oxide coating
WO2013162909A1 (en) * 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
JP2015522710A (ja) * 2012-04-27 2015-08-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 重要チャンバコンポーネント用プラズマ溶射プロセスの強化
WO2014018830A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US20140030486A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
JP2015530737A (ja) * 2012-07-27 2015-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190078384A (ko) * 2017-12-26 2019-07-04 주식회사 포스코 용사 코팅 장치
KR102044333B1 (ko) * 2017-12-26 2019-11-13 주식회사 포스코 용사 코팅 장치
WO2021188362A1 (en) * 2020-03-19 2021-09-23 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber

Also Published As

Publication number Publication date
JP7033919B2 (ja) 2022-03-11
CN105431926B (zh) 2021-08-27
CN105431926A (zh) 2016-03-23
KR20170005784A (ko) 2017-01-16
US11578398B2 (en) 2023-02-14
WO2015175987A4 (en) 2016-01-07
US20190136360A1 (en) 2019-05-09
CN113620710A (zh) 2021-11-09
KR102410645B1 (ko) 2022-06-16
KR20220084213A (ko) 2022-06-21
US20200190653A1 (en) 2020-06-18
US20150329955A1 (en) 2015-11-19
WO2015175987A1 (en) 2015-11-19
CN113620710B (zh) 2022-11-11
US10604831B2 (en) 2020-03-31
US10196728B2 (en) 2019-02-05

Similar Documents

Publication Publication Date Title
US11578398B2 (en) Plasma spray coating design using phase and stress control
JP6640250B2 (ja) 高度なデバイスのウェハ上の粒子性能に対して化学的適合性のあるコーティング材料
JP2015522710A (ja) 重要チャンバコンポーネント用プラズマ溶射プロセスの強化
TW201515087A (zh) 使用電漿火焰熱處理之電漿噴塗增進
TWI722986B (zh) 使用相和應力控制的電漿噴灑塗佈設計

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180413

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180413

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190313

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190821

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200421

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200720

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200922

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210302

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210601

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210830

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220105

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20220105

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20220112

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20220118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220202

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220301

R150 Certificate of patent or registration of utility model

Ref document number: 7033919

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150