JP2017505709A - 誘導自己組織化用高χブロックコポリマー - Google Patents

誘導自己組織化用高χブロックコポリマー Download PDF

Info

Publication number
JP2017505709A
JP2017505709A JP2016546000A JP2016546000A JP2017505709A JP 2017505709 A JP2017505709 A JP 2017505709A JP 2016546000 A JP2016546000 A JP 2016546000A JP 2016546000 A JP2016546000 A JP 2016546000A JP 2017505709 A JP2017505709 A JP 2017505709A
Authority
JP
Japan
Prior art keywords
block
layer
vinyl
polymer
styrene
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016546000A
Other languages
English (en)
Other versions
JP6764787B2 (ja
Inventor
クイ シュ,
クイ シュ,
メアリー アン ホッケー,
メアリー アン ホッケー,
エリック カルデラス,
エリック カルデラス,
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー., ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2017505709A publication Critical patent/JP2017505709A/ja
Application granted granted Critical
Publication of JP6764787B2 publication Critical patent/JP6764787B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D153/00Coating compositions based on block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/16Halogens
    • C08F212/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/14Methyl esters, e.g. methyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F293/00Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule
    • C08F293/005Macromolecular compounds obtained by polymerisation on to a macromolecule having groups capable of inducing the formation of new polymer chains bound exclusively at one or both ends of the starting macromolecule using free radical "living" or "controlled" polymerisation, e.g. using a complexing agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • C08J3/241Preventing premature crosslinking by physical separation of components, e.g. encapsulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2438/00Living radical polymerisation
    • C08F2438/03Use of a di- or tri-thiocarbonylthio compound, e.g. di- or tri-thioester, di- or tri-thiocarbamate, or a xanthate as chain transfer agent, e.g . Reversible Addition Fragmentation chain Transfer [RAFT] or Macromolecular Design via Interchange of Xanthates [MADIX]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/3154Of fluorinated addition polymer from unsaturated monomers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Graft Or Block Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

誘導自己組織化(DSA)パターニング技術用組成物を提供する。ブロックコポリマー(BCP)を含むDSA組成物が基板に塗布され、次に自己組織化されて所望のパターンを形成する誘導自己組織化法も提供する。ブロックコポリマーは少なくとも2つのブロックを含み、高い相互作用パラメータ(Chi)を有するように選択される。BCPは、トップコート無しで、中性化した基板上での単純な熱アニールによって垂直なラメラを形成することができる。BCPは、10nm以下で測定し、20nm以下のL0性能を有する、ラインアンドスペースにミクロ相分離することもできる。【選択図】 図1

Description

発明の背景
(関連出願)
本願は、2014年1月16日提出の、HIGH−CHI BLOCK COPOLYMERS FOR DIRECTED SELF−ASSEMBLY(誘導自己組織化用高χブロックコポリマー)と題した米国特許仮出願番号第61/928,062号の優先権の利益を主張するものであり、参照して本明細書に援用する。
(発明の分野)
本開示は、マイクロエレクトロニクス構造の製造において、誘導自己組織化パターン形成に利用することができる組成物に包含する新規なブロックコポリマーに関する。
(先行技術の説明)
より小さな微細加工デバイスの高まる需要には、デバイスの構成要素の形状サイズの継続的な減少が必要とされる。形状サイズが22nm以下にまで近づいているため、従来のフォトリソグラフィ技術は、ますます困難でコストのかかるものとなっている。ムーアの法則を前進させ続けるために、非リソグラフィ技術はよりいっそう重要になってくるだろう。ナノスケールのパターンを生成するための代替的なアプローチがブロックコポリマー(BCPs)の誘導自己組織化(DSA)であり、これは分子レベルで(<20nm)ラメラおよびシリンダ等の高度に配列したパターン形成可能な形態を都合よく提供することができる。
現在のところ、従来のポリスチレン‐ブロック‐ポリ(メチルメタクリレート)ブロックコポリマー(PS−b−PMMA)を利用してラインアンドスペースを生成するためのDSAの使用では、臨界寸法範囲が28〜50nmピッチにあると示されてきた。ケモエピタキシおよびグラフォエピタキシプレパターニングプロセス流れの両方を用いる方法の範囲は、ラインアンドスペース(ラメラ)とコンタクトホール(シリンダ)の両方を作製することに成功してきた。対照的に、193nm液浸スキャナーの単一パターニングの分解能限界は、密集したラインアンドスペースに関して37nmであり、コンタクト開口部に関して40nmである。
PS−b−PMMAは、その低い相互作用パラメータ(Chi(キー)またはχ)、BCPsにおけるブロックの不適合性の基準の尺度のため、約〜13nmの固有の形状サイズ限界を有している。コポリマーの両方のブロックに複数のモノマーを使用することは、一般的でも望まれてもいないものの、モノマー間の潜在的な不均質性および不適合性のため、χ等のBCPの特性は、両方のブロックにおけるコモノマーの使用によって変えることができる。よって、高い極性のブロック、フッ素リッチなブロック、またはシリコンリッチなブロック(例えばポリスチレン‐ブロック‐2‐ビニルピリジン[PS−b−P2VP]、ポリスチレン‐ブロック‐ポリジメチルシロキサン[PS−b−PDMS]、ポリスチレン‐ブロック‐ポリ(2,2,2‐トリフルオロエチルメタクリレート)[PS−b−PTFEMA]等)を通常含有する高χBCPsは、10‐nmおよび10‐nm以下のパターンを得るための熱心な研究下にある。しかしながら、高χBCPsは、ブロック間の異なる極性および特性のため、そのDSA形態を誘導および配向するのが一般的に困難である。具体的には、薄膜BCP−DSAの垂直な配向は、基板上に有用なナノスケールのパターンを生成するリソグラフィックの用途、特にラメラ形成BCPsに望まれる。最も知られている高χBCPsは、PS−b−PMMAのように単純な熱アニールによって垂直に配向した形態を容易に形成することはできない。それらが垂直な配向を得るには、余分なトップコート層か、溶剤アニールかが必要であり、これにより製造コストおよび複雑化が大きく増す可能性がある。
本発明は、広く、新規なDSA組成物を用いてマイクロエレクトロニクス構造を形成する方法を提供する。
その方法は、表面を有する基板、および基板表面上の1以上の随意の中間層を含むスタックを用意する工程を含む。中間層が存在する場合、中間層に、もしくは中間層が存在しない場合、基板表面に、組成物が塗布される。組成物は、第1ブロックおよび第2ブロックを含むブロックコポリマーを含み、第1ブロックは、
(I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
(II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される。次に、組成物は自己組織化層へと自己組織化させられる。自己組織化層は第1自己組織化領域および第1自己組織化領域とは異なる第2自己組織化領域を含む。
別の実施形態において、マイクロエレクトロニクス構造が提供される。その構造は、
表面を有する基板と;
基板表面上の1以上の随意の中間層と;
1以上の随意の中間層が存在する場合、1以上の随意の中間層上に、もしくは中間層が存在しない場合、基板表面上に形成される自己組織化組成物の層とを含む。自己組織化組成物は、第1ブロックおよび第2ブロックを含むブロックコポリマーを含み、第1ブロックは、
(I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
(II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される。
別の実施形態において、本発明は、第1ブロックおよび第2ブロックを含むブロックコポリマーに関係する。第1ブロックは、
(I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
(II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される。第2ブロックは、メチルメタクリレート、乳酸、エチレンオキシド、メチルアクリレート、ビニルエステル、およびビニルアミドのポリマーからなる群から選択される。
またさらなる実施形態において、本発明は、溶剤系に溶解または分散されたブロックコポリマーを含む組成物を提供する。ブロックコポリマーは第1ブロックおよび第2ブロックを含む。第1ブロックは、
(I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
(II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される。第2ブロックは、メチルメタクリレート、乳酸、エチレンオキシド、メチルアクリレート、ビニルエステル、およびビニルアミドのポリマーからなる群から選択される。
図1は、実施例1のアニールされたBCPの指紋の走査型電子顕微鏡(SEM)画像である。 図2は、実施例2のアニールされたBCPの指紋のSEM画像である。 図3は、実施例3のアニールされたBCPの指紋のSEM画像である。 図4は、実施例4のアニールされたBCPの指紋のSEM画像である。 図5は、実施例5のアニールされたBCPの指紋のSEM画像である。 図6は、実施例6のアニールされたBCPの指紋のSEM画像である。 図7は、実施例7のアニールされたBCPの指紋のSEM画像である。 図8は、実施例8のアニールされたBCPの指紋のSEM画像である。
好ましい実施形態の詳細な説明
<発明のブロックコポリマー>
本発明において使用する組成物はDSA組成物であり、溶剤系に溶解または分散されたブロックコポリマー(一般にジブロックコポリマー)を含む。一般に、DSA組成物は、2以上の混合しない化合物(例えば、ポリマーブレンド)または異なる(たいてい正反対の)機能性、極性、または水親和性、エッチング耐性等の特性を有する少なくとも2つの成分を含む自己組織化化合物を含有し、これは合理的な方法で2つの化合物または成分の分離(本質的にナノ相分離)および配列、ならびに1つの化合物または成分の選択的な除去を可能にする。上述のように、ブロックコポリマーは、少なくとも2つの異なるブロックを含めて合成することができるため、DSA技術に特に適合しており、各成分が適切な条件下で配列すること、そして配列後に選択的に除去されることを可能にする。
本発明において使用するのに適したブロックコポリマーは、適切な時(例えば、アニールされた時)に自己組織化するポリマーのブロックを2以上含むべきである。ブロックコポリマーは、第1および第2ブロックを含む。
1.発明のブロックコポリマーの第1ブロック
A.VBCB実施形態
1つの実施形態において、第1ブロックは、ビニルベンゾシクロブテン(「VBCB」および好ましくは4‐ビニルベンゾシクロブテンまたは「4‐VBCB」)、スチレン、およびVBCBまたは(非置換型)スチレン以外のモノマーのランダムモノマーを含むポリマーである。VBCBまたはスチレン以外のモノマーは、スチレン含有モノマー、ビニルピリジン(好ましくは2‐ビニルピリジンまたは4‐ビニルピリジン)、およびビニルナフタレンからなる群から選択される。好ましくは、モノマーは、スチレン含有モノマーである。本明細書中で使用される、「スチレン含有モノマー」とは、その他の原子または部分と一緒に、その構造内にスチレン部分を有する(例えば、環が置換されている)モノマーを意味する。「スチレン含有モノマー」には、その他の原子または環の置換基がなく、スチレンのみを含むモノマーは含まれない。好適なスチレン含有モノマーの例には、フルオロスチレン(好ましくは、4‐フルオロスチレン)、ブチルスチレン(好ましくは4‐tert‐ブチルスチレン)、ビニルアニソール(好ましくは4‐ビニルアニソール)、メチルスチレン、およびトリフルオロメチルスチレンからなる群から選択されるものが含まれる。有利なことに、VBCBブロックは、アニールの後、それが望まれる用途のために、その架橋温度まで加熱されると架橋する。
この実施形態の第1ブロックにおけるスチレン繰り返し単位のモル百分率は、約0.1%〜約99.99%、好ましくは約10%〜約80%、より好ましくは約10%〜約60%となり得る。この実施形態の第1ブロックにおけるVBCB繰り返し単位のモル百分率は、約1%〜約99.99%、好ましくは約5%〜約70%、より好ましくは約10%〜60%である。第1ブロック中のVBCBまたはスチレン(例えば、フルオロスチレン繰り返し単位)以外のモノマーのモル百分率は、約0.1%〜約99.99%、好ましくは約1%〜約60%、より好ましくは約5%〜約35%となり得る。
B.VB実施形態
別の実施形態において、第1ブロックは、ビニルビフェニル(「VB」、好ましくは4‐ビニルビフェニルまたは「4‐VB」)およびスチレンのランダムモノマーを含むポリマーである。さらにより好ましくは、この実施形態による第1ブロックは、VBまたはスチレンではない少なくとも1つのその他のモノマー、好ましくは2つのその他のモノマーをさらに含む。好ましいその他のモノマーは、スチレン含有モノマー(上述したもの等)、ビニルピリジン(好ましくは2‐ビニルピリジンまたは4‐ビニルピリジン)、ビニルナフタレン、メチルスチレン、およびフルオロスチレン(好ましくは4‐フルオロスチレン)からなる群から選択される。より好ましくは、メチルスチレンおよびフルオロスチレン(再び、好ましくは4‐フルオロスチレン)の両方がその他のモノマーとして存在する。有利なことに、VBブロックは、架橋が望まれない用途のために、加熱に際し架橋しない。
この実施形態の第1ブロックにおけるスチレン繰り返し単位のモル百分率は、約0.1%〜約99.99%、好ましくは約10%〜約90%、より好ましくは約10%〜約60%である。この実施形態の第1ブロックにおけるVB繰り返し単位のモル百分率は、約0.1%〜約99.99%、好ましくは約5%〜約75%、より好ましくは約10%〜約50%である。
フルオロスチレン繰り返し単位が第1ブロック中に存在する実施形態において、それらの単位のモル百分率は、約0.1%〜約99.99%、好ましくは約1%〜約40%、より好ましくは約5%〜約30%である。メチルスチレン繰り返し単位が第1ブロック中に存在する実施形態において、存在するメチルスチレンのモル百分率は、約0.1%〜約99.99%、好ましくは約5%〜約60%、より好ましくは約15%〜約60%である。
2.発明のブロックコポリマーの第2ブロック
メチルメタクリレートモノマーから形成されるポリマー(すなわち、PMMA)が最も好ましい第2ブロックではあるが、その他の第2ブロックも利用することができ、乳酸、エチレンオキシド、ビニルエステル、ビニルアミド、およびメチルアクリレートからなる群から選択されるモノマーを含むポリマーからなる群から選択されるものが含まれる。
3.発明のブロックコポリマー特性
発明のブロックコポリマーは、重量平均分子量が約1,000g/mol〜約100,000g/mol、好ましくは約10,000g/mol〜約50,000g/molである。さらに、ブロックコポリマーの多分散指数(「PDI」、実施例9で測定される)は、好ましくは約1.01〜約1.50、より好ましくは約1.01〜約1.30である。発明のブロックコポリマーは、χ値がポリスチレンおよびポリ(メチルメタクリレート)ブロックのχ値の約1.5倍以上、好ましくは約2倍以上である。
コポリマー中のブロックの比は、所望の自己組織化マイクロ構造、およびポリマーの特性次第で変わる。しかしながら、第1ブロックと第2ブロックの体積比は一般的に、約10:90〜約90:10、より好ましくは約20:80〜約80:20である。本明細書中で使用する「体積比」は、ポリマーの各ブロックの「体積」の比である(体積は、ポリマーのそのブロックの分子量を取り、それをポリマーのそのブロックの密度で除することによって算出される)。形成される構造がホールの場合、体積比は一般に約75:25〜約65:35、より好ましくは約70:30となるだろう。形成される構造がシリンダの場合、体積比は一般に約25:75〜約35:65、より好ましくは約30:70となるだろう。形成される構造がラメラ、ライン、またはスペースの場合、体積比は一般に約45:55〜約55:45、より好ましくは約50:50となるだろう。
<重合方法>
好適なブロックコポリマーは、可逆的付加開裂連鎖移動(RAFT)、原子移動ラジカル重合(ATRP)、安定フリーラジカル介在重合(SFRP)等の、制御ラジカル重合法を使用することによって作製することができる。スキームAは、RAFT重合を使用してブロックコポリマーを作製する一般的な反応を示している。ブロックコポリマーを作製するプロセスは、2段階反応を利用する。まず、1以上のモノマー(スキームAにおけるモノマーA)がラジカル開始剤と連鎖移動剤(チオカルボニルチオ化合物等)の存在下でRAFT重合を介して重合されて、鎖の一端に連鎖移動剤部分(チオカルボニルチオ等)を有するポリマー(スキームAにおけるポリマーA)を作製する。得られたポリマーを次に第2の反応の巨大分子連鎖移動剤として使用してラジカル開始剤の存在下で第2のモノマー(スキームAにおけるモノマーB)の重合を遂行してブロックコポリマー(スキームAにおけるA−B)を作製する。
RAFT重合法に使用する好適なラジカル開始剤には、これらに限定されないが、2,2´‐アゾビス(2‐メチルプロピオニトリル)(AIBN)、4,4´‐アゾビス(4‐シアノ吉草酸)(ACVA)、および1,1´‐アゾビス(シクロヘキサンカルボニトリル)(ACHN)が含まれる。
好適な連鎖移動剤には、これらに限定されないが、2‐シアノ‐2‐プロピルベンゾジチオエート、2‐フェニル‐2‐プロピルベンゾジチオエート、4‐シアノ‐4‐(フェニルカルボノチオイルチオ)ペンタン酸、2‐シアノ‐2‐プロピルドデシルトリチオカーボネート、および4‐シアノ‐4‐[(ドデシルスルファニル‐チオカルボニル)スルファニル]ペンタン酸が含まれる。
好適な溶剤には、これらに限定されないが、トルエン、1,4‐ジオキサン、テトラヒドロフラン、プロピレングリコールモノメチルエーテルアセテート、およびアニソールが含まれる。
以下のスキームBは、VBCB実施形態(特に4‐VBCB)が第1ブロックに使用され、スチレンまたはVBCB以外のモノマーが4‐フルオロスチレンであり、およびPMMAが第2ブロックである、発明のブロックコポリマーを調製するRAFT反応スキームを示している。ブロックコポリマーを作製するRAFTプロセスは、2段階反応を利用する。まず、メチルメタクリレートがラジカル開始剤および連鎖移動剤の存在下でRAFT重合を介して重合されて、鎖の一端に連鎖移動剤部分を有するポリ(メチルメタクリレート)を生成する。次に、P(S‐FS‐VBCB)‐b‐PMMAブロックコポリマーは、連鎖移動剤として末端官能基化PMMA、ラジカル開始剤、ならびにモノマーとしてスチレン、4‐フルオロスチレン、および4‐ビニルベンゾシクロブテンの混合物を使用することによって合成することができる。
結果として得られるブロックコポリマーの化学構造をスキームCに示す。第1ブロックのモノマーが一定の順序で示されているが、それらは単に例示的なもので、それらのモノマーは第1ブロック全体を通してランダムとなるだろうことは正しく理解されるはずである。さらに、「x」および「y」は単に、示されているものが全般的なブロックのそれぞれのスナップショットであることを示している。つまり、ブロックは示されているよりも長くなるだろうし、特定の用途のために所望のサイズに重合されるだろう。
スキームDは、VB実施形態(特に4‐VB)が第1ブロックに使用され、メチルスチレンおよび4‐フルオロスチレンの両方が第1ブロック中に存在し、およびPMMAが第2ブロックである、発明のブロックコポリマーを調製するのに使用されるRAFT反応スキームを示している。ここでもまた、2段階反応が利用される。まず、メチルメタクリレートがラジカル開始剤および連鎖移動剤の存在下でRAFTを介して重合されて、鎖の一端に連鎖移動剤部分を有するポリ(メチルメタクリレート)を作製する。次に、P(S‐MS‐FS‐VB)‐b‐PMMAブロックコポリマーは、連鎖移動剤として末端官能基化PMMA、ラジカル開始剤、ならびにモノマーとしてスチレン、4‐フルオロスチレン、メチルスチレン、およびビニルビフェニル(VB)の混合物を使用することによって合成することができる。
結果として得られるブロックコポリマーの化学構造をスキームEに示す。ここでもまた、第1ブロックのモノマーが一定の順序で示されているが、それらは単に例示的なもので、それらのモノマーは第1ブロック全体を通してランダムとなるだろうことは正しく理解されるはずである。さらに、「x」および「y」は単に、示されているものが全般的なブロックのスナップショットであることを示している。つまり、ブロックは示されているよりも長くなるだろうし、特定の用途のために所望のサイズに重合されるだろう。
<発明の組成物>
上述したブロックコポリマーに加えて、発明の組成物は、界面活性剤、酸触媒、塩基触媒、架橋剤、およびそれらの混合物からなる群から選択されるもの等の多くの随意的な成分を含むことができる。DSA組成物は、上述のブロックコポリマーを溶剤系に溶解または分散することによって調製される。好適な溶剤には、プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、γ‐ブチロラクトン(GBL)、シクロヘキサノン、シクロペンタノン、乳酸エチル(EL)、アセト酢酸エチル(EAA)、n‐酢酸ブチル、メチルイソブチルカルビノール(MIBC)、2‐ヘプタノン、イソプロピルアルコール(IPA)、メチルエチルケトン(MEK)、およびそれらの混合物からなる群から選択されるものが含まれる。
好ましい組成物は、組成物の合計重量を100wt%とした場合、固形分が、好ましくは約0.1wt%〜約5wt%、より好ましくは約0.1wt%〜約2wt%、さらにより好ましくは約0.1wt%〜約1wt%である。組成物中のブロックコポリマーの量も、組成物中に存在する主要な(あるいは唯一の)固体である可能性が最も高いため、一般的に上記範囲内に入るだろう。
<発明の組成物を用いる方法>
発明のDSA層は、公知のいずれかの塗布方法によって上記組成物から形成することができ、それらの方法のいくつかは米国特許出願番号2013/0273330に記載されており、その全体を本明細書中に援用する。1つの好ましい方法には、基板上にブロックコポリマー組成物を、約500rpm〜約2,500rpm、好ましくは約1,000rpm〜約1,500rpmのスピードで、約30秒間〜約90秒間、好ましくは約50秒間〜約60秒間スピンコーティングすることが含まれる。
ブロックコポリマー組成物が塗布された後に、熱アニール、溶剤アニール、マイクロ波アニール、およびそれらの組み合わせを含む好適な手法のいずれかを用いて自己組織化を行うことができる。好ましい実施形態において、層をそのガラス転移温度(Tg)より高い温度まで加熱することによって熱アニールが行われる。材料をアニールしDSA層を形成するために、その温度は一般に、約100℃〜300℃、より好ましくは約110℃〜約250℃で、約30秒間〜約600秒間、好ましくは約60秒〜約120秒間であるだろう。随意の第2ベーク段階が、マイクロ相分離の後に、材料を架橋するのに使用されてもよい。ベーク後のDSA層の厚さは、各ポリマーブロックの分子量に依存して、好ましくは約5nm〜約60nm、より好ましくは約10nm〜約40nmである。
1つの実施形態において、自己組織化は、DSA組成物が塗布されたすぐ後に行われる。言い換えると、いくつかの先行技術の方法の場合と同様に、アニールの前にDSA層の上に追加の層またはその他の組成物は存在しない。有利なことに、本発明は、熱アニールによって完全に行われる自己組織化を提供する。つまり、いくつかの先行技術の方法は、特別なその他の条件(例えば、溶剤アニール、不活性雰囲気におけるアニール)を必要とするが、それらは本発明では避けられる。
DSA層は、底部反射防止コーティング、中性ブラシ層、ハードマスク中性層(HM NL)、ハードマスク、スピンオンカーボン、またはその他の層を含む群から選択される1以上の下部層の一連のものの上に随意でコーティングすることができる。いくつかの場合には、HM NL等の単一層は、底部反射防止コーティング、中性ブラシ層およびハードマスクの機能等、複数の層の機能を果たすことができる。
1つの随意の中間層はハードマスク層である。ハードマスク層は、公知の塗布方法のいずれかによって形成することができ、1つの好ましい方法は、約1,000〜約5,000rpm、好ましくは約1,250rpm〜約1,750rpmのスピードで、約30〜120秒間、好ましくは約45秒〜約75秒間スピンコーティングすることである。好適なハードマスク層は、シラン、シロキサン、およびシルセスキオキサンからなる群から選択されるもの等、高シリコン含有材料であることが好ましい。例示的なハードマスク層は、随意で1以上の以下の成分:界面活性剤、酸または塩基触媒、および架橋剤と共に、溶剤系に溶解または分散されたポリマーを一般的に含む。
好ましいハードマスク組成物は、ハードマスク組成物の合計重量を100wt%とした場合、固形分が、好ましくは約0.1wt%〜約70wt%、より好ましくは、0.5wt%〜10wt%、さらにより好ましくは約1wt%〜約2wt%であるだろう。ハードマスクを塗布した後に、約100℃〜約300℃、より好ましくは約150℃〜約250℃の温度まで、約30秒間〜約120秒間、好ましくは約45秒間〜約60秒間加熱して、溶剤を蒸発させるのが好ましい。ベーク後のハードマスク層の厚さは、好ましくは約5nm〜約50,000nm、より好ましくは約5nm〜約1,000nm、さらにより好ましくは約10nm〜約100nmである。ハードマスク層は、フッ素リッチなプラズマ雰囲気において、ブロックコポリマーのエッチング速度の0.75倍以上のエッチング速度を有するべきであり、酸素リッチなプラズマエッチング雰囲気において、いかなるSOCよりも5倍以上遅いエッチング速度を有するべきである。ハードマスクの厚さは、DSA組成物中の溶剤によって影響を受けるべきではない(すなわちハードマスクの剥離がない)。よって、剥離試験にかけた場合、架橋したハードマスク層は、パーセント剥離が約5%未満、好ましくは約1%未満、より好ましくは0%であるだろう。剥離試験は、初めにハードマスク層の異なる5箇所の測定値の平均を取ることによって厚さを決定することを含む。これが初期の平均膜厚である。次に、その膜を溶剤または現像液で約30秒間リンスし、その後、約500rpm〜3,000rpmで、約20〜60秒間スピン乾燥して、溶剤を除去する。偏光解析法を用いてウェーハ上のその5箇所で再び厚さを測定し、それらの測定値の平均が決定される。これが最終の平均膜厚である。剥離量は、初期と最終の平均膜厚の差である。パーセント剥離は下記式で求められる:
%剥離=(剥離量/初期平均膜厚)×100
上記の剥離が無いということはハードマスク層に関して記載されているが、上述したものを含む、発明のDSA層の下に含まれるその他の中間層のいずれについても、同じことが当てはまるだろう。
いくつかの市販のハードマスク層を用いることができる。その他の好ましいハードマスク層は、フェネチルトリメトキシシラン(PETMS)、2‐(カルボメトキシ)エチルトリメトキシシラン(CMETMS)、テトラエトキシシラン(TEOS)、メチルトリメトキシシラン、およびフェニルトリメトキシシランを含む群から選択されるモノマーのコポリマーを含む。
随意のカーボンリッチ層は、公知の塗布方法のいずれかによって形成することができ、1つの好ましい方法は、約1,000rpm〜約5,000rpm、好ましくは約1,250rpm〜約1,750rpmのスピードで、約30秒間〜約120秒間、好ましくは約45秒間〜75秒間スピンコーティングすることである。「カーボンリッチ」という語は、カーボンリッチな組成物中の固形分の合計を100wt%とした場合、約50wt%超の炭素、好ましくは約70wt%超の炭素、より好ましくは約75wt%〜約80wt%の炭素を含む組成物から形成された層を意味する。好適なカーボンリッチ層は、スピンオンカーボン層(SOC)、非晶質カーボン層、およびカーボン平坦化層からなる群から選択される。
例示的なカーボンリッチ層は、1以上の以下の随意の成分:酸および/または塩基失活剤、触媒、架橋剤、および表面改質添加剤と共に、溶剤系に溶解または分散されたポリマーを一般的に含む。好ましい組成物は、厚い層を形成するのに適しており、カーボンリッチな組成物の合計重量を100wt%とした場合、固形分が、好ましくは約0.1wt%〜約70wt%、より好ましくは約5wt%〜約40wt%、さらにより好ましくは約10wt%〜約30wt%であるだろう。カーボンリッチな組成物を塗布した後、約100℃〜約300℃、より好ましくは約160℃〜約250℃の温度まで、約30秒間〜120秒間、好ましくは約45秒間〜約60秒間加熱して、溶剤を蒸発させるのが好ましい。ベーク後のカーボンリッチ層の厚さは、好ましくは約10nm〜約50,000nm、より好ましくは約100nm〜約5,000nm、さらにより好ましくは、約500nm〜約1,500nmである。
DSA層が塗布される基板は、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、ケイ化タングステン、ガリウムヒ素、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、またはこれらの混合物からなる群から選択されるもの等、半導体基板であるのが好ましい。また、随意の中間層を、処理の前に基板上に形成してもよい。
上では好ましい実施形態を記載しているが、実施することができる多数のバリエーションがあることは理解されるだろう。これらのバリエーションは、以前に援用された米国特許出願番号2013/0273330に詳細に記載され、示されている。例えば、本発明は、リソグラフィ‐アシスト(例えば、グラフォエピタキシ)自己組織化に利用することができるだろう。要するに、基板、随意の中間層(例えば、カーボンリッチ層)、およびハードマスク層を用いて、上述のようにスタックが調製される。ハードマスク中性層が使用されない実施形態においては、DSA材料の自己組織化を可能にするためにハードマスク上に中性またはブラシ層を使用しなければならない。
画像形成層は、従来の方法に従って硬化したハードマスク層上に形成される。画像形成層として使用する好適な感光性組成物には、フォトレジスト、反射防止画像形成層等、約1mJ/cm以上の放射線に露出することでパターニングすることができるいずれかの組成物が含まれる。次に、画像形成層は、約80℃以上、好ましくは約100℃〜約140℃の温度で、約10秒間〜約120秒間(好ましくは約30秒〜約60秒)、塗布後ベーク(「PAB」)することができる。画像形成層の厚さは、好ましくは約10nm〜約300nm、より好ましくは約20nm〜約150nm、さらにより好ましくは約30nm〜約100nmである。
続いて、画像形成層は、ここでもまた従来の方法に従って、例えば、適切な波長の放射線(例えば、光学リソグラフィの場合、光)に露出し、その後画像形成層の非露出部分の現像によってパターニングすることができる。例えば、画像形成層は、画像形成層の上に配置されたマスクを用いて露出され得る。マスクは、(ネガティブトーンフォトレジストを使用する場合)溶剤において不溶にされる画像形成層の露出部分を生成するために、放射線(hν)がマスクを通過して画像形成層と接触することを可能にするように設計された開口エリアを有している。マスクの残りの固形部分は、溶剤可溶性のままの画像形成層の非露出部分を生成するために、放射線がある一定エリアの画像形成層と接触するのを防ぐように設計されている。本願の方法は、画像形成層の大部分が放射線から保護されて、ラインおよび柱等の隆起した形状を形成する、暗視野の露出に特に適しているとはいえ、開口エリアと固形部分の配置は、画像形成層に形成される所望のパターンに基づいて設計されることは、当業者には容易に理解されるだろう。露出後、画像形成層には、約80℃〜約150℃、より好ましくは約100℃〜約130℃の温度で、約30秒間〜約60秒間、露出後ベーク(「PEB」)を施すのが好ましい。
露出に際して、画像形成層の放射線に露出される部分は、有機(好ましくは、非アルカリ性の)溶剤現像液に不溶にされる。次に、露出された画像形成層を溶剤と接触させて、非露出部分を除去し、画像形成層において所望の「プレパターン」を形成する。あるいは、ポジティブトーンフォトレジストを用いる場合、画像形成層の露出された部分は、露出プロセス中に、水性現像液(例えば、アルカリ性現像液)または溶剤に可溶にすることができ、その場合、除去プロセスは上で記載したものと逆になる。すなわち、現像中に、露出された部分が除去されて、パターンを形成する(図示せず)。どちらの実施形態においても、画像形成層22の非露出(または、場合によって、露出)部分の約95%以上は、現像液により除去されるのが好ましく、より好ましくは約99%以上、さらにより好ましくは約100%が除去されるものとする。好適な非アルカリ性溶剤現像液には、n‐酢酸ブチル、n‐ブチルプロピオネート、イソブチルブチレート、および/またはケトン(例えば、2‐ヘプタノン)が含まれる。ポジティブトーン画像形成層に好適なアルカリ性現像液は、水酸化カリウム(KOH)および水酸化テトラメチルアンモニウム(TMAH)等の有機または無機アルカリ性溶液であり、濃度0.26N以下のTMAH水溶液を含むのが好ましい。これらの現像液のいくつかは、商品名PD523AD(ワシントン州、モーゼスレイク、モーゼスレイクインダストリーズ社から入手可能)、MF−319(マサチューセッツ州、シプレイ社から入手可能)、MF−320(シプレイ社から入手可能)、およびNMD3(日本、TOKから入手可能)で商品化されている。
よって、プレパターンの構成は、画像形成層の選択された部分のものがスタックから除去される場合、覆いが取られるまたは露出される、画像形成層の下のハードマスク層の部分となる。結果として生じるプレパターンは、ハードマスク層上に形成される隆起した形状(例えば、ライン、柱、正方形の島、またはそれらの組み合わせ)を含むのが好ましい。これらの形状は、画像形成層の露出された部分に化学的に同一であり、それぞれが、各側壁および各上面によって、輪郭がはっきりと示されている。代わりの実施形態において、多重パターニングプロセス、ならびに浸漬リソグラフィを含む、その他の好適なパターニングプロセスを、画像形成層をパターニングして隆起した形状を形成するのに用いてもよいことは正しく理解されるだろう。上述した通り、本明細書中に記載されるネガティブトーン画像形成層の代わりに、ポジティブトーンレジストまたは感光性材料も用いることができることも正しく理解されるだろう。この場合、露出部分は可溶性にされ、現像液により除去される一方で、画像形成層の非露出部分は、不溶性のままである。また、インプリントリソグラフィ、ナノインプリントリソグラフィ、熱エンボスリソグラフィ、スタンピングパターン転写等、新興の技術を含む、別のパターニング方法を用いてもよい。これらの技術は、上述したように、フォトリソグラフィのパターニングに頼る代わりに、パターニングされた型を用いてパターンを転写する。
実施形態に関わらず、いったん所望のプレパターンが形成されると、本発明によるDSA組成物は、隆起した形状間のスペース(すなわち、ハードマスクに直接隣接している)および隆起した形状の側壁に隣接するスペースに流れるように、パターニングされたスタックに塗布することができる。1以上の実施形態において、自己組織化組成物は、隆起した形状の上面を上塗りすることもできる。しかしながら、他の実施形態において、自己組織化組成物は、隆起した形状の上面を上塗りしないことが好ましい。言い換えると、自己組織化組成物は、隆起した形状間、および形状の側壁に隣接して堆積されるが、隆起した形状の上面には存在しない。その結果、隆起した形状の上面は、プレパターンを露出するためのエッチングバック工程または自己組織化層のその他の改質を要することなしに、溶剤除去またはエッチングを介して容易に除去されるように開放したままである。
続いて、DSA組成物は、上述の通り自己組織化またはアニールされて、自己組織化層またはアニール層に第1自己組織化領域および第2自己組織化領域を生成することができ、第1または第2自己組織化領域のうちの1つが隆起した形状の側壁に隣接し、また第1または第2自己組織化領域のもう一方が隆起した形状と離れて分離している。例えば、P(S‐FS‐VBCB)‐b‐PMMAブロックコポリマー組成物の場合、第2ブロック(すなわち、PMMAブロック)が互いに引き寄せ合って、S‐FS‐VBCBの隣接する自己組織化領域の間に分離される一方で、第1ブロック(すなわち、S‐FS‐VBCBブロック)はフォトレジスト側壁に隣接して整列するだろう。
次に、第1か第2自己組織化領域のどちらかが除去されて、パターンを生成することができる。例えば、第1自己組織化領域が次に、除去されて、パターニングされたスタック上のDSA層にパターンを生成することができ、その後、このパターンをハードマスクおよびカーボンリッチ中間層へと下に転写する。第1自己組織化領域の代わりに、第2自己組織化領域が除去され得ることは正しく理解されるだろう。そうであっても、結果として生じるパターンは最終的には基板へと下に転写される。パターンは通常、ライン、スペース、シリンダ、および/またはホール等の形状から構成される。有利なことに、これらの形状は、平均して得られる(平均の)各形状サイズが約20nm未満、好ましくは約15nm未満、より好ましくは約10nm未満、さらにより好ましくは約1nm〜約10nmであるだろう。本明細書中で使用する「形状サイズ」という語は、スタックのSEM断面で測定される形状の、平均して得られる(平均の)幅を意味する(よって、ホールの場合、その幅はホールの直径と同じである)。
本発明の1つの利点は、ハードマスク中性層が利用される実施形態において、その層の表面特性が、自己組織化材料の整列を容易にするはずである中性層から、自己組織化材料がアニールまたは自己組織化中に整列しないであろう非整列層に改質され得ることである。ハードマスク層を改質する1つの可能性のある達成方法には、ケモエピタキシが含まれる。上述の通り、基板、随意の中間層、ハードマスク中性層、および画像形成層を含むスタックが調製される。プレパターンは、前に記載した方法か、その他従来の方法のどれかを用いて、画像形成層中に作製される。よって、プレパターンの構成は、画像形成層の選択された部分のものがスタックから除去される場合、覆いが取られるまたは露出される、画像形成層の下のハードマスク層の部分となる。ハードマスクに隣接する画像形成層の残りの部分は、ハードマスク中性層の表面改質用のマスクとしてつとめる。1以上の実施形態において、画像形成層は、光学リソグラフィおよび現像液(例えば、アルカリ性現像液)または溶剤のリンスを用いてパターニングされる。あるいは、画像形成層は、別の好適な方法を用いてパターニングされ、その後、現像溶液(例えば、アルカリ性現像液)または溶剤と接触させられる。とにかく、ハードマスク層の露出部分は、(個別にまたは現像液リンスの間に)現像溶液と接触させられる。次に、画像形成層の残りの部分は、(例えば溶剤により)除去されて、表面改質領域と非改質領域を有するハードマスク層を生成し、表面改質領域は、画像形成層のパターニング中に覆いが取られた、ハードマスクのそれらの部分に相当する。有利なことに、現像液(特にアルカリ性現像液)との接触は、ハードマスク層の表面エネルギーを変える。1以上の実施形態において、表面エネルギーは増大し、ハードマスクの表面改質領域に、その能力を失わせて、中性層として働かせ、自己組織化プロセス中に整列を誘導する。しかしながら、パターニングおよび現像液接触中に画像形成層によって覆われたままであった、ハードマスクの非改質領域は、依然としてその中性層の特性を保持する。よって、表面改質領域は、非整列エリアに対応する一方で、非改質領域は、ハードマスク上の整列エリアに対応する。したがって、活発な整列エリアは、自己組織化中にパターン形成を導く構造となる能力を有する。
次に、DSA層と表面改質領域および非改質領域との間に直接の接触があるように、DSA層は表面改質ハードマスク層の上に直接形成される。続いて、DSA層は上述の通り自己組織化されて、成分が自己組織化するのを可能にする。表面改質のため、自己組織化層は、ハードマスクの非改質エリアに隣接する、DSA層のその部分における、第1自己組織化領域および第2自己組織化領域に自己組織化するだけだろう。言い換えれば、ハードマスクの表面改質エリアに隣接するDSA層の部分は、アニールまたは自己組織化中にパターンへ分離または隔離せず、「非組織化」または「非整列化」されている。次に、第1または第2自己組織化領域のうちの1つが選択的に除去され得て、その後、結果として得られるパターンがハードマスク層および随意の中間層へとエッチングされる。最終的にこのパターンは、基板へと下に転写される。
ハードマスク中性層が利用されない実施形態において、中間層には、改質および非改質エリアまたは領域を形成するだろうことが求められ、残りのプロセスは上述のように進行するだろう。さらなる実施形態において、この中間層は直接パターニングされ得て、よって最後に除去されなければならない画像形成層またはフォトレジスト層を使用する必要性を避ける。つまり、放射線への選択的な露出は、上記の表面改質エリアまたは領域を作製することとなるだろう。
上述の方法のそれぞれにおいて、自己組織化またはアニールはDSA層において、ナノ相分離をもたらし、これは、従来の光学リソグラフィ技術を用いては一般的に達成され得ないナノメーターサイズのパターンの形成を可能にすることが、正しく理解されるだろう。また、本願の方法はDSA層における少なくとも2つの別個のアニールされたまたは自己組織化された領域の形成を説明しているが、第3および第4のアニールされたまたは自己組織化された領域を含む、2つよりも多い別個の相に分離することができる追加のDSA材料が作製され得ることが想像されることは、正しく理解されるだろう。
以下の実施例は、本発明に従った好ましい方法を示す。しかしながら、これらの実施例は実例として示されており、その中のどれも本発明の全般的な範囲の限定として捉えられるべきではないことは、理解されるべきである。
[実施例1]
<70/10/20P(S‐FS‐VBCB)‐b‐PMMAの合成>
518mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、35.0gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後24.6mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で16時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが13,300、Mw=14,800、およびPDIが1.11でGPCによって評価した。
第2段階において、4gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、5.80gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、2.08gの4‐ビニルベンゾシクロブテン(ミズーリ州、セントルイス、シグマアルドリッチ)、および0.98gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。その溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。次に、そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を90℃で17時間行った。得られた反応混合物を50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例2]
<60/20/20P(S‐FS‐VBCB)‐b‐PMMAの合成>
518mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、35.0gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後24.6mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で16時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが13,300、Mw=14,800、およびPDIが1.11でGPCによって評価した。
第2段階において、4gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、4.80gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、2.01gの4‐ビニルベンゾシクロブテン(ミズーリ州、セントルイス、シグマアルドリッチ)、および1.88gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。その溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。次に、そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を90℃で17時間行った。得られた反応混合物を50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例3]
<40/20/40P(S‐FS‐VBCB)‐b‐PMMAの合成>
518mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、35.0gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後24.6mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で16時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが13,300、Mw=14,800、およびPDIが1.11でGPCによって評価した。
第2段階において、4gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.3gのPMMA、3.21gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、4.01gの4‐ビニルベンゾシクロブテン(ミズーリ州、セントルイス、シグマアルドリッチ)、および1.88gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。その溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を90℃で17時間行った。得られた反応混合物を50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例4]
<45/5/50P(S‐FS‐VBCB)‐b‐PMMAの合成>
690mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、38.0gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および30mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後41mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で16時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが11,300、Mw=12,900、およびPDIが1.15でGPCによって評価した。
第2段階において、4gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、3.61gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、5.01gの4‐ビニルベンゾシクロブテン(ミズーリ州、セントルイス、シグマアルドリッチ)、および0.47gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に入れ、室温で15分間、窒素雰囲気下で撹拌した。その溶液をシュレンク反応フラスコに移し、4.1mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。次に、そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を90℃で17時間行った。得られた反応混合物を50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例5]
<50/10/40P(S‐FS‐VBCB)b‐PMMAの合成>
504mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、40gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後24mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で16時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが12,500、Mw=14,200、およびPDIが1.14でGPCによって評価した。
第2段階において、4gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、4.00gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、4.01gの4‐ビニルベンゾシクロブテン(ミズーリ州、セントルイス、シグマアルドリッチ)、および0.94gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に入れ、室温で15分間、窒素雰囲気下で撹拌した。その溶液をシュレンク反応フラスコに移し、4.1mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を90℃で17時間行った。得られた反応混合物を50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例6]
<55/15/15/15P(S‐MS‐FS‐VBP)‐b‐PMMAの合成>
692mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、40gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後28mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で17時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが12,900、Mw=14,200、およびPDIが1.10でGPCによって評価した。
第2段階において、4.0gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、4.40gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、2.70gの4‐ビニルビフェニル(ミズーリ州、セントルイス、シグマアルドリッチ)、1.77gの4‐メチルスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、および1.41gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に加え、室温で15分間、窒素雰囲気下で撹拌した。その得られた溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を85℃で16時間行った。得られた反応混合物を40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例7]
<50/20/20/10P(S‐MS‐FS‐VBP)‐b‐PMMAの合成>
692mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、40gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後28mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で17時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが12,900、Mw=14,200、およびPDIが1.10でGPCによって評価した。
第2段階において、4.0gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、5.20gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、3.60gの4‐ビニルビフェニル(ミズーリ州、セントルイス、シグマアルドリッチ)、2.34gの4‐メチルスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、および1.21gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に加え、室温で15分間、窒素雰囲気下で撹拌した。その得られた溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。次に、そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を85℃で16時間行った。得られた反応混合物を40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例8]
<40/20/20/20P(S‐MS‐FS‐VBP)‐b‐PMMAの合成>
692mgの2‐シアノ‐2‐プロピルドデシルトリチオカーボネート(ミズーリ州、セントルイス、シグマアルドリッチ)、38gのメチルメタクリレート(ミズーリ州、セントルイス、シグマアルドリッチ)、および28mlのトルエン(ミズーリ州、セントルイス、シグマアルドリッチ)の混合溶液を丸底フラスコ中に用意し、室温で15分間、窒素雰囲気下で撹拌した。調製した溶液をシュレンク反応フラスコに移し、その後24mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。そのシュレンク反応フラスコを、3回の連続的な凍結‐排気‐融解サイクルによって脱気し、真空に密閉した。重合を60℃で17時間行った。粘性の反応混合物が得られ、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈した。ポリマー生成物を1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させ、濾過により収集した。得られたポリマー固体を、50mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、1lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。ポリマー生成物、トリチオカーボネート末端基を有するPMMAを、Mnが12,100、Mw=14,000、およびPDIが1.16でGPCによって評価した。
第2段階において、4.0gのトルエン中(ミズーリ州、セントルイス、シグマアルドリッチ)、上で調製した3.0gのPMMA、4.16gのスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、3.60gの4‐ビニルビフェニル(ミズーリ州、セントルイス、シグマアルドリッチ)、2.36gの4‐メチルスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)、および2.43gの4‐フルオロスチレン(ミズーリ州、セントルイス、シグマアルドリッチ)を丸底フラスコ中に加え、室温で15分間、窒素雰囲気下で撹拌した。その得られた溶液をシュレンク反応フラスコに移し、3.8mgの2,2´‐アゾビス(2‐メチルプロピオニトリル)(ミズーリ州、セントルイス、シグマアルドリッチ)を添加した。次に、そのシュレンク反応フラスコを、凍結‐排気‐融解サイクルを3回繰り返して脱気し、真空に密閉した。重合を85℃で17時間行った。得られた反応混合物を40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)で希釈し、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させた。濾過によって収集したポリマーを、40mlのジクロロメタン(ミズーリ州、セントルイス、シグマアルドリッチ)中に再溶解させ、0.8lのメタノール(ミズーリ州、セントルイス、シグマアルドリッチ)中に沈殿させることによってさらに精製し、続いて45℃で24時間、真空乾燥機中で乾燥した。
[実施例9]
<BCPsの分子量および多分散性>
調製したBCPそれぞれの分子量および多分散性を、GPCによって測定した。結果を表1に示す。GPCのパラメータは以下の通り:移動相THF;カラム‐ウォーターズのStyragel HR 3、708×300mm;流速‐0.5ml/min;検出器‐RI;カラム温度40℃;較正用にポリスチレン標準試料を使用し、PMMAポリマーにはPMMA標準試料を使用した。
[実施例10]
<BCPsの剥離試験>
Brewer Science(R)Cee(R)CB100コートおよびベークツールを使用して剥離試験を行った。スピン速度は、増加率250‐rpmで1,000rpmから2,000rpmに変化した。ランプ(ramp)速度は、60秒間の継続時間で10,000rpmだった。180℃で3分間(BCPのマイクロ相分離を達成するため)、次に230℃で2分間(材料を架橋するため)の2段階ベークを行った。初期厚さの値は、M2000 VUV VASEの測定から得られた。次にコーティングされたウェーハを、PGMEAの60秒間のパドル法を使用して現像し、続いて1,000rpmで30秒間スピン乾燥した。続いてVASEツールで第2の厚さを測定して、厚さ損失を判断した。
[実施例11]
<アニールしたBCPsのSEM画像>
実施例1〜8において調製したBCPsをアニールし、SEMで評価した。実施例1〜5の組成物について、参照して本明細書中に援用する米国特許公開番号2013/0273330のハードマスク層をそれぞれのシリコンウェーハ上に形成した。実施例6〜8の組成物について、各BCPのモノマーのランダムコポリマーを含んだ組成物から形成される中性層をそれぞれのシリコンウェーハ上に形成した。
次に、試験される特定のブロックコポリマー組成物を、中性層またはハードマスク層上にスピンコートして下にある中性層またはハードマスク層およびDSA層の両方について合計厚さ約20nmを得た。ホットプレート上、150〜250℃で30秒間〜10分間(通常5分)、熱アニールを行った。
アニールしたBCPsのSEM画像を、JEOL SEM(倍率200.00kx、EHT5kV)を使用して撮影した。これらの画像を図1〜8に示す。
[実施例12]
<L測定およびライン/スペース測定>
ImageJソフトウェアを使用して、実施例11のL、ならびにラインアンドスペースの臨界寸法を測定した。SEM画像1枚につき6箇所の測定を行い、平均化して最終的なL値を見出した。

Claims (54)

  1. マイクロエレクトロニクス構造を形成する方法であって、
    表面を有する基板、および前記基板表面上の1以上の随意の中間層を含むスタックを用意する工程と;
    前記中間層が存在する場合前記中間層に、もしくは前記中間層が存在しない場合前記基板表面に、組成物を塗布する工程であって、前記組成物は第1ブロックおよび第2ブロックを含むブロックコポリマーを含み、前記第1ブロックは、
    (I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
    (II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される、組成物を塗布する工程と;
    前記組成物が自己組織化層へと自己組織化することを引き起こす工程とを含み、前記自己組織化層は、第1自己組織化領域および前記第1自己組織化領域とは異なる第2自己組織化領域を含む、マイクロエレクトロニクス構造を形成する方法。
  2. 前記第1ブロックはポリマー(I)であり、前記モノマーはスチレン含有モノマーである、請求項1に記載の方法。
  3. 前記第1ブロックポリマーはポリマー(I)であり、スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレン、ビニルピリジン、ブチルスチレン、ビニルアニソール、メチルスチレン、およびトリフルオロメチルスチレンからなる群から選択される、請求項1に記載の方法。
  4. スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレンを含む、請求項3に記載の方法。
  5. 前記第1ブロックはポリマー(II)であり、前記ポリマー(II)はビニルビフェニルまたはスチレン以外の少なくとも1つのモノマーをさらに含む、請求項1に記載の方法。
  6. 前記少なくとも1つのモノマーは、スチレン含有モノマー、ビニルピリジン、ビニルナフタレン、メチルスチレン、およびフルオロスチレンからなる群から選択される、請求項5に記載の方法。
  7. メチルスチレンおよびフルオロスチレンの両方が前記ポリマー(II)中にモノマーとして存在する、請求項6に記載の方法。
  8. 前記ブロックコポリマーは、χ値がポリスチレンおよびポリ(メチルメタクリレート)ブロックコポリマーのχ値の約1.5倍以上である、請求項1に記載の方法。
  9. 前記第2ブロックは、メチルメタクリレート、乳酸、エチレンオキシド、メチルアクリレート、ビニルエステル、およびビニルアミドからなる群から選択されるモノマーを含むポリマーである、請求項1に記載の方法。
  10. 前記第2ブロックがポリ(メチルメタクリレート)である、請求項9に記載の方法。
  11. 前記引き起こす工程は、前記組成物を前記ブロックコポリマーのおよそガラス転移温度以上まで加熱する工程を含み、前記自己組織化は前記加熱する工程中に起こる、請求項1に記載の方法。
  12. 前記第1ブロックがポリマー(I)であり、前記加熱する工程中に前記ブロックコポリマーに自己架橋させるための第2の加熱する工程をさらに含む、請求項11に記載の方法。
  13. 前記第1ブロックはポリマー(II)であり、加熱する工程中に前記ブロックコポリマーは架橋しない、請求項11に記載の方法。
  14. 前記スタックは、底部反射防止コーティング、中性ブラシ層、ハードマスク中性層、ハードマスク、スピンオンカーボン層、エッチングブロック層、および画像形成層からなる群から選択される中間層を含む、請求項1に記載の方法。
  15. 前記基板は半導体基板である、請求項1に記載の方法。
  16. 前記基板は、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、ケイ化タングステン、ガリウムヒ素、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、またはこれらの混合物からなる群から選択される、請求項15に記載の方法。
  17. 前記自己組織化層にパターンを生成するために前記第2自己組織化領域を除去する工程をさらに含む、請求項1に記載の方法。
  18. 前記1以上の中間層が存在する場合には前記1以上の中間層、ならびに前記基板に、前記パターンを転写する工程をさらに含み、前記パターンは、平均約20nm未満の形状サイズを有する、トレンチ、スペース、ビアホール、コンタクトホールからなる群から選択される複数の形状を含む、請求項15に記載の方法。
  19. 中間層が存在し、前記中間層は、複数の隆起した形状を含むプレパターンを備え、前記隆起した形状は間隔が離れており、それぞれの側壁および上面によって、それぞれ輪郭がはっきりと示されており、前記自己組織化組成物は、前記隆起した形状間の空間において、前記中間層の上に直接塗布される、請求項1に記載の方法。
  20. 前記複数の隆起した形状は:
    その他の前記中間層が存在する場合、その他の前記中間層上に、もしくは他に前記中間層が存在しない場合、前記基板表面上に、画像形成層を形成するために感光性組成物を塗布する工程;および
    前記組成物を前記画像形成層に塗布する前に、前記プレパターンを生成するために前記画像形成層をパターニングする工程により形成される、請求項19に記載の方法。
  21. 前記パターニングする工程は:
    前記画像形成層の露出部分および非露出部分を生成するために前記画像形成層を放射線に露出する工程;および
    前記露出部分または非露出部分のうちのどちらかを除去するために、前記画像形成層を現像液に接触させる工程を含む、請求項20に記載の方法。
  22. 少なくとも1つの中間層が存在し、前記中間層はハードマスク層および中性層からなる群から選択され、前記中間層は表面改質領域および非改質領域を有する表面を含み、前記第1および第2の自己組織化領域は前記非改質領域に隣接している、請求項1に記載の方法。
  23. 前記組成物を前記中間層に塗布する工程の前に、前記表面改質領域および非改質領域が:
    前記中間層上に画像形成層を形成する工程;
    プレパターンを生成するために前記画像形成層をパターニングする工程であって、前記画像形成層の一部分を選択的に取り除いて前記中間層の一部分の覆いを取ることを含む、パターニングする工程;
    前記表面改質領域を生成するために、前記覆いが取られた中間層の一部分を現像液または溶剤に接触させる工程;および
    前記非改質領域を生成するために前記中間層から前記画像形成層の残りの部分を除去する工程により形成される、請求項22に記載の方法。
  24. 前記組成物を前記中間層に塗布する工程の前に、前記中間層を放射線に選択的に露出する工程によって前記表面改質領域および非改質領域が形成される、請求項22に記載の方法。
  25. 前記引き起こす工程は、前記塗布する工程の後、前記組成物上に形成されるさらなる層、または前記組成物に塗布される第2の組成物を利用することなく行われる、請求項1に記載の方法。
  26. 前記ビニルベンゾシクロブテンが4‐ビニルベンゾシクロブテンであり、前記ビニルビフェニルが4‐ビニルビフェニルである、請求項1に記載の方法。
  27. 表面を有する基板と;
    前記基板表面上の1以上の随意の中間層と;
    前記1以上の随意の中間層が存在する場合、前記1以上の随意の中間層上に、もしくは前記中間層が存在しない場合、前記基板表面上に形成される自己組織化組成物の層とを含み、前記自己組織化組成物は、第1ブロックおよび第2ブロックを含むブロックコポリマーを含み、前記第1ブロックは、
    (I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
    (II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される、マイクロエレクトロニクス構造。
  28. 前記第1ブロックはポリマー(I)であり、前記モノマーはスチレン含有モノマーである、請求項27に記載の構造。
  29. 前記第1ブロックポリマーは、ポリマー(I)であり、スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレン、ビニルピリジン、ブチルスチレン、ビニルアニソール、メチルスチレン、およびトリフルオロメチルスチレンからなる群から選択される、請求項27に記載の構造。
  30. スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレンを含む、請求項29に記載の構造。
  31. 前記第1ブロックはポリマー(II)であり、前記ポリマー(II)はビニルビフェニルまたはスチレン以外の少なくとも1つのモノマーをさらに含む、請求項27に記載の構造。
  32. 前記少なくとも1つのモノマーは、スチレン含有モノマー、ビニルピリジン、ビニルナフタレン、メチルスチレン、およびフルオロスチレンからなる群から選択される、請求項31に記載の構造。
  33. メチルスチレンおよびフルオロスチレンの両方が前記ポリマー(II)中にモノマーとして存在する、請求項32に記載の構造。
  34. 前記ブロックコポリマーは、χ値がポリスチレンおよびポリ(メチルメタクリレート)ブロックコポリマーのχ値の約1.5倍以上である、請求項27に記載の構造。
  35. 前記第2ブロックは、メチルメタクリレート、乳酸、エチレンオキシド、メチルアクリレート、ビニルエステル、およびビニルアミドからなる群から選択されるモノマーを含むポリマーである、請求項27に記載の構造。
  36. 前記第2ブロックがポリ(メチルメタクリレート)である、請求項35に記載の構造。
  37. 前記スタックは、底部反射防止コーティング、中性ブラシ層、ハードマスク中性層、ハードマスク、スピンオンカーボン層、エッチングブロック層、および画像形成層からなる群から選択される中間層を含む、請求項27に記載の構造。
  38. 前記基板は半導体基板である、請求項27に記載の構造。
  39. 前記基板は、シリコン、SiGe、SiO、Si、SiON、アルミニウム、タングステン、ケイ化タングステン、ガリウムヒ素、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、サンゴ、ブラックダイヤモンド、ガラス、またはこれらの混合物からなる群から選択される、請求項38に記載の構造。
  40. 中間層が存在し、前記中間層は、複数の隆起した形状を含むプレパターンを備え、前記隆起した形状は間隔が離れており、それぞれの側壁および上面によって、それぞれ輪郭がはっきりと示されており、前記自己組織化組成物は、前記隆起した形状間の空間において、前記中間層の上に直接塗布される、請求項27に記載の構造。
  41. 前記ビニルベンゾシクロブテンが4‐ビニルベンゾシクロブテンであり、前記ビニルビフェニルが4‐ビニルビフェニルである、請求項27に記載の構造。
  42. 第1ブロックおよび第2ブロックを含むブロックコポリマーであって、
    前記第1ブロックは:
    (I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
    (II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択され、
    前記第2ブロックは、メチルメタクリレート、乳酸、エチレンオキシド、メチルアクリレート、ビニルエステル、およびビニルアミドのポリマーからなる群から選択される、ブロックコポリマー。
  43. 前記第1ブロックはポリマー(I)であり、前記モノマーはスチレン含有モノマーである、請求項42に記載のブロックコポリマー。
  44. 前記第1ブロックポリマーはポリマー(I)であり、スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレン、ビニルピリジン、ブチルスチレン、ビニルアニソール、メチルスチレン、およびトリフルオロメチルスチレンからなる群から選択される、請求項42に記載のブロックコポリマー。
  45. 前記第1ブロックはポリマー(II)であり、前記ポリマー(II)はビニルビフェニルまたはスチレン以外の少なくとも1つのモノマーをさらに含む、請求項42に記載のブロックコポリマー。
  46. メチルスチレンおよびフルオロスチレンの両方が前記ポリマー(II)中にモノマーとして存在する、請求項45に記載のブロックコポリマー。
  47. 前記ビニルベンゾシクロブテンが4‐ビニルベンゾシクロブテンであり、前記ビニルビフェニルが4‐ビニルビフェニルである、請求項42に記載のブロックコポリマー。
  48. 溶剤系に溶解または分散されたブロックコポリマーを含む組成物であって、前記ブロックコポリマーは:
    (I)ビニルベンゾシクロブテン、スチレン、およびスチレンまたはビニルベンゾシクロブテン以外のモノマーのランダムモノマーを含むポリマー、ならびに
    (II)ビニルビフェニルおよびスチレンのランダムモノマーを含むポリマーからなる群から選択される、第1ブロックと;
    メチルメタクリレート、乳酸、エチレンオキシド、アクリル酸メチル、ビニルエステル、およびビニルアミドのポリマーからなる群から選択される、第2ブロックとを含む、組成物。
  49. 前記第1ブロックはポリマー(I)であり、前記モノマーはスチレン含有モノマーである、請求項48に記載の組成物。
  50. 前記第1ブロックポリマーはポリマー(I)であり、スチレンまたはビニルベンゾシクロブテン以外の前記モノマーは、フルオロスチレン、ビニルピリジン、ブチルスチレン、ビニルアニソール、メチルスチレン、およびトリフルオロメチルスチレンからなる群から選択される、請求項48に記載の組成物。
  51. 前記第1ブロックはポリマー(II)であり、前記ポリマー(II)はビニルビフェニルまたはスチレン以外の少なくとも1つのモノマーをさらに含む、請求項48に記載の組成物。
  52. メチルスチレンおよびフルオロスチレンの両方が前記ポリマー(II)中にモノマーとして存在する、請求項51に記載の組成物。
  53. 前記溶剤系は、プロピレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテルアセテート、γ‐ブチロラクトン、シクロヘキサノン、シクロペンタノン、乳酸エチル、アセト酢酸エチル、n‐酢酸ブチル、メチルイソブチルカルビノール、2‐ヘプタノン、イソプロピルアルコール、メチルエチルケトン、およびその混合物からなる群から選択される、請求項48に記載の組成物。
  54. 前記ビニルベンゾシクロブテンが4‐ビニルベンゾシクロブテンであり、前記ビニルビフェニルが4‐ビニルビフェニルである、請求項48に記載の組成物。
JP2016546000A 2014-01-16 2015-01-16 誘導自己組織化用高χブロックコポリマー Active JP6764787B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461928062P 2014-01-16 2014-01-16
US61/928,062 2014-01-16
PCT/US2015/011811 WO2015109224A1 (en) 2014-01-16 2015-01-16 High-chi block copolymers for directed self-assembly

Publications (2)

Publication Number Publication Date
JP2017505709A true JP2017505709A (ja) 2017-02-23
JP6764787B2 JP6764787B2 (ja) 2020-10-07

Family

ID=53520774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016546000A Active JP6764787B2 (ja) 2014-01-16 2015-01-16 誘導自己組織化用高χブロックコポリマー

Country Status (7)

Country Link
US (1) US10421878B2 (ja)
EP (1) EP3095127B1 (ja)
JP (1) JP6764787B2 (ja)
KR (1) KR102364329B1 (ja)
CN (1) CN106104754B (ja)
TW (1) TWI690540B (ja)
WO (1) WO2015109224A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240032082A (ko) 2021-07-09 2024-03-08 도쿄엘렉트론가부시키가이샤 패턴 형성 방법 및 플라즈마 처리 방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9574104B1 (en) * 2015-10-16 2017-02-21 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions and processes for self-assembly of block copolymers
US9879152B2 (en) 2015-10-21 2018-01-30 International Business Machines Corporation Block copolymers for directed self-assembly applications
FR3045644A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede d'obtention de films ordonnes epais et de periodes elevees comprenant un copolymere a blocs
FR3045645B1 (fr) * 2015-12-18 2019-07-05 Arkema France Procede de reduction des defauts dans un film ordonne de copolymeres a blocs
FR3045643A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede d'amelioration de l'uniformite de dimension critique de films ordonnes de copolymere a blocs
FR3045642A1 (fr) * 2015-12-18 2017-06-23 Arkema France Procede de reduction du temps de structuration de films ordonnes de copolymere a blocs
US9982097B2 (en) 2016-02-11 2018-05-29 International Business Machines Corporation Thin film self assembly of topcoat-free silicon-containing diblock copolymers
US9768059B1 (en) 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
EP3500637B1 (en) 2016-08-18 2022-06-22 Merck Patent GmbH Polymer compositions for self-assembly applications
KR102412137B1 (ko) * 2016-09-23 2022-06-23 에스케이이노베이션 주식회사 블록 공중합체를 이용한 미세 패턴의 형성 방법
KR102412869B1 (ko) * 2016-09-23 2022-06-27 에스케이이노베이션 주식회사 플루오린기를 함유하는 이중블록 공중합체
WO2018067671A1 (en) 2016-10-04 2018-04-12 Brewer Science Inc. Chemically patterned guide layers for use in chemoepitaxy directing of block co-polymers
WO2018112121A1 (en) 2016-12-14 2018-06-21 Brewer Science Inc. High-chi block copolymers for directed self-assembly
KR102353786B1 (ko) 2016-12-21 2022-01-19 리지필드 액퀴지션 블록 공중합체의 자기-조립을 위한 신규한 조성물 및 방법
JP6896447B2 (ja) * 2017-02-14 2021-06-30 株式会社Screenホールディングス 基板処理方法
US10340179B2 (en) 2017-09-13 2019-07-02 International Business Machines Corporation Via formation using directed self-assembly of a block copolymer
US20190127505A1 (en) * 2017-11-02 2019-05-02 Rohm And Haas Electronic Materials Llc Addition polymers from nitrogen heterocycle containing monomers and vinyl arylcyclobutene-containing monomers
WO2019152078A1 (en) * 2018-02-01 2019-08-08 Brewer Science, Inc. Gradient block copolymers for directed self-assembly
SG11202103809PA (en) * 2018-12-07 2021-05-28 Merck Patent Gmbh Rapid cross-linkable neutral underlayers for contact hole self-assembly of polystyrene-b- poly(methyl methacrylate) diblock copolymers and their formulation thereof
CN111244031A (zh) * 2020-01-21 2020-06-05 复旦大学 小尺寸硅化物纳米线的制备方法及小尺寸硅化物纳米线

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012219236A (ja) * 2011-04-13 2012-11-12 Tokyo Ohka Kogyo Co Ltd 相分離構造を有する層を表面に備える基板の製造方法
WO2013019679A1 (en) * 2011-07-29 2013-02-07 Wisconsin Alumni Research Foundation Block copolymer materials for directed assembly of thin films
WO2013041958A1 (en) * 2011-09-23 2013-03-28 Az Electronics Materials Usa Corp. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
WO2013073505A1 (ja) * 2011-11-14 2013-05-23 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
JP2014001296A (ja) * 2012-06-18 2014-01-09 Asahi Kasei E-Materials Corp ホールシュリンク用のパターン形成用樹脂組成物

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8287957B2 (en) 2004-11-22 2012-10-16 Wisconsin Alumni Research Foundation Methods and compositions for forming aperiodic patterned copolymer films
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
CN101248391B (zh) 2005-08-25 2013-03-27 日产化学工业株式会社 含有乙烯基萘树脂衍生物的形成光刻用涂布型下层膜的组合物
US7655378B2 (en) 2006-07-24 2010-02-02 Shin-Etsu Chemical Co., Ltd. Negative resist composition and patterning process using the same
US7482270B2 (en) 2006-12-05 2009-01-27 International Business Machines Corporation Fully and uniformly silicided gate structure and method for forming same
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
DE112009000979B4 (de) 2008-04-23 2014-12-11 Brewer Science, Inc. Photoempfindliche Hartmaske für die Mikrolithographie
US8398868B2 (en) 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8048795B2 (en) 2009-07-10 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembly pattern for semiconductor integrated circuit
CA2780810A1 (en) 2009-12-02 2011-06-09 Northwestern University Block copolymer-assisted nanolithography
US8821978B2 (en) 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
KR101865314B1 (ko) 2010-03-18 2018-06-08 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 블록 공중합체의 정렬을 위한 표면 처리
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US9060415B2 (en) * 2011-02-15 2015-06-16 Riken Method for producing substrate having surface nanostructure
US20130022785A1 (en) 2011-06-21 2013-01-24 Board of Regents, The University of the Texas System Oligosaccharide/silicon-containing block copolymers for lithography applications
US10253187B2 (en) * 2011-11-08 2019-04-09 Samsung Electronics Co., Ltd. Nano-structure of block copolymer and method of manufacturing the same
US9372398B2 (en) 2012-03-02 2016-06-21 Wisconsin Alumni Research Foundation Patterning in the directed assembly of block copolymers using triblock or multiblock copolymers
KR20140087904A (ko) * 2012-12-31 2014-07-09 삼성전자주식회사 블록 코폴리머 층의 패턴 형성 방법
KR102106159B1 (ko) * 2013-01-08 2020-04-29 삼성전자주식회사 블록 코폴리머 층의 패턴 형성 방법 및 패턴화된 구조물
KR102118692B1 (ko) * 2013-02-18 2020-06-09 삼성전자주식회사 블록 코폴리머 층의 패턴 형성 방법 및 패턴화된 폴리머 구조물
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9666443B2 (en) * 2013-03-15 2017-05-30 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US9123541B2 (en) 2013-04-03 2015-09-01 Brewer Science Inc. Highly etch-resistant polymer block for use in block copolymers for directed self-assembly
WO2015018590A1 (en) * 2013-08-06 2015-02-12 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
WO2015023875A1 (en) * 2013-08-14 2015-02-19 Onses Mustafa Serdar Three dimensional block-copolymer films formed by electrohydrodynamic jet printing and self-assembly
US9490117B2 (en) * 2013-12-31 2016-11-08 Dow Global Technologies Llc Directed self-assembly pattern formation methods and compositions

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012219236A (ja) * 2011-04-13 2012-11-12 Tokyo Ohka Kogyo Co Ltd 相分離構造を有する層を表面に備える基板の製造方法
WO2013019679A1 (en) * 2011-07-29 2013-02-07 Wisconsin Alumni Research Foundation Block copolymer materials for directed assembly of thin films
WO2013041958A1 (en) * 2011-09-23 2013-03-28 Az Electronics Materials Usa Corp. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
WO2013073505A1 (ja) * 2011-11-14 2013-05-23 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
WO2013158527A1 (en) * 2012-04-16 2013-10-24 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
JP2014001296A (ja) * 2012-06-18 2014-01-09 Asahi Kasei E-Materials Corp ホールシュリンク用のパターン形成用樹脂組成物

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20240032082A (ko) 2021-07-09 2024-03-08 도쿄엘렉트론가부시키가이샤 패턴 형성 방법 및 플라즈마 처리 방법

Also Published As

Publication number Publication date
JP6764787B2 (ja) 2020-10-07
US20150197594A1 (en) 2015-07-16
TW201627333A (zh) 2016-08-01
CN106104754B (zh) 2020-07-28
US10421878B2 (en) 2019-09-24
KR102364329B1 (ko) 2022-02-17
EP3095127B1 (en) 2020-05-20
EP3095127A4 (en) 2017-10-18
WO2015109224A1 (en) 2015-07-23
CN106104754A (zh) 2016-11-09
TWI690540B (zh) 2020-04-11
EP3095127A1 (en) 2016-11-23
KR20160110416A (ko) 2016-09-21

Similar Documents

Publication Publication Date Title
JP6764787B2 (ja) 誘導自己組織化用高χブロックコポリマー
JP6454324B2 (ja) 誘導自己組織化用ブロックコポリマーに用いる高エッチング耐性ポリマーブロック
JP6077547B2 (ja) 誘導自己組織化ブロックコポリマーのための中性層の組成物及びそれの方法
CN108137313A (zh) 用于嵌段共聚物自组装的组合物和方法
TWI745448B (zh) 用於嵌段共聚物之化學磊晶定向的經化學圖案化之導引層
JP6782695B2 (ja) 誘導自己集合体施与のためのケイ素含有ブロックコポリマー
TWI754661B (zh) 用於自組裝應用之聚合物組合物
US11078337B2 (en) High-χ block copolymers for directed self-assembly
US10734239B2 (en) High-chi block copolymers with tunable glass transition temperatures for directed self-assembly
TWI791618B (zh) 微電子結構及製造形成這種微電子結構的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20190221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191004

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191023

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200317

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20200709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200914

R150 Certificate of patent or registration of utility model

Ref document number: 6764787

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250