JP2017503673A - 表面平坦化システムおよび方法 - Google Patents

表面平坦化システムおよび方法 Download PDF

Info

Publication number
JP2017503673A
JP2017503673A JP2016561093A JP2016561093A JP2017503673A JP 2017503673 A JP2017503673 A JP 2017503673A JP 2016561093 A JP2016561093 A JP 2016561093A JP 2016561093 A JP2016561093 A JP 2016561093A JP 2017503673 A JP2017503673 A JP 2017503673A
Authority
JP
Japan
Prior art keywords
sample
cmp
energy source
data
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016561093A
Other languages
English (en)
Other versions
JP6502383B2 (ja
Inventor
タロヴェッツ,イゴル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nova Ltd
Original Assignee
Nova Measuring Instruments Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nova Measuring Instruments Ltd filed Critical Nova Measuring Instruments Ltd
Publication of JP2017503673A publication Critical patent/JP2017503673A/ja
Application granted granted Critical
Publication of JP6502383B2 publication Critical patent/JP6502383B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/015Temperature control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Electrochemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Weting (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

表面平坦化システムが提示されている。システムは、処理領域内に局所的なエネルギー分布を発生するための外部エネルギー源と、局部的なエネルギー分布により、処理領域の異なる場所が異なる温度に供されるような処理領域内の予め定められた温度パターンを生成するよう、外部エネルギー源を操作させるための制御ユニットと、を備えている。これは、エッチングする材料組成と接触するサンプル(例えば半導体ウェハー)が処理領域内に位置したとき、サンプル表面の異なる位置における温度パターンが、エッチングする材料組成によって異なる材料除去率(異なるエッチング率)を生成することを提供する。【選択図】図2

Description

発明の属する技術分野および背景技術
本発明は、材料除去技術の分野にあり、表面平坦化のためのシステムおよび方法に関するものである。
「ムーアの法則」として知られている、マイクロ電子デバイスの寸法のスケーリングにより、そのような装置の製造で用いられる表面平坦化プロセスはますます重要になっている。高度に統合された半導体装置は、トランジスター、コンタクトおよび多数の金属層のスタックである。
すべてのバックエンドオブライン(BEOL)金属層は、最終段階で化学的/機械的研磨(CMP)を含む、「デュアル・ダマシン」DDプロセスを使用して製造される。このCMPプロセスは、全ウェハー表面の平坦化だけでなく、必要とされる金属ラインの厚さ(ワイヤの抵抗を制御する)を生成する。同様のCMPアプローチは、コンタクト、ゲート(置き換えゲートプロセス)の生成に適用され、置き換えフィンの生成のために使用されるよう設計されている。製造の種々のステージで適用されるこれらすべての表面平坦化プロセスは、すべてのプロセスが少なくとも2つのステージで説明できる、CMP層タイプの「ストップオン」であり、ここで、第1のステージ(バルク除去)では、平坦化がターゲットとなる層に達しない位置まで通常実行され、第2のステージにおいて、完全な材料除去を保証し、ターゲットとなる層の望ましい厚さを達成するために、オーバーポリッシングが第1のステージの結果として得られる構成に適用される。
CMPプロセス中、基板の露出した表面は、通常、標準パッド(耐久性のある粗面を有する)または固定研磨パッド(収納媒体に保持された研磨粒子を有する)のいずれかとすることができる、回転する研磨パッド(ディスクパッドまたはベルトパッド)に対し位置している。基板は、研磨パッドに対し押し付けられるよう制御可能に装着される。少なくとも1つの化学的に反応する物質(および標準パッドが使用される場合は可能ならば研磨粒子)を含む研磨スラリーが、研磨パッドの表面に供給される。CMPプロセスは、シングルステップまたはマルチステップのオーバーポリッシングおよび/またはバッファリング材料除去ステージがその後に続く、シングルステップまたはマルチステップの「バルク」材料除去ステージを含む、各種の連続するステップで実施される。
図1Aおよび1Bは、そのような連続CMPステップを実行するための通常使用されているCMPツール配置10を例示する。図1Aに示すように、回転可能なマルチヘッドカルーセル12は、それぞれがサンプル(部品)を保持するよう構成された4つのキャリアヘッド14A、14B、14Cおよび14Dを支持し、研磨ステーションのプラテン1、プラテン2、プラテン3および移送ステーション16の間を、サンプルを有するキャリアヘッドが移動するように、その軸の周りに回転する。キャリアヘッドは、サンプルSを受け取って保持し、サンプルを研磨パッドに対し押し付け、プラテンの表面に向かうようにあるいはプラテンの表面から離れるように各サンプルを移動させることができる。研磨中、キャリアヘッドは、対応する研磨パッドに対し各サンプルを押し付け、プラテンは、その中心軸の周りに回転し、キャリアヘッドは、各中心軸の周りに回転するとともに研磨パッドの表面を横切って横方向に平行移動する。CMPツール配置は、サンプル上の膜/層の厚さの変化を決定する働きをする1つ以上のその場または統合された計測システムを含むことができる。その場計測システムは、測定がリアルタイムで成されるよう位置決めされ、膜が研磨される間、各研磨ステーションは、独立したその場測定システムを含むことができる。統合された計測ツールは、キャリアヘッドがサンプルを統合された計測ツールのサンプルホルダーに運ぶように、隣接する研磨ステーション間に設置することができる。図示したように、サンプルSは、最上層(ターゲット層)の除去のためのCMPツール配置を開始/入力し、これは、プラテン1により実行される「バルク」除去ステップ、および、プラテン2および3により実行される2つの連続するオーバーポリッシュおよびバッファリングステップによって、連続的に成される。
そのため、図1Bに線図的に示すように、従来のCMPツール配置10は、「バルク」除去ステップのための1つ以上のステーションおよびオーバーポリッシュおよび/またはバッファリング除去/平坦化のための少数のステーション14を含む、プラテン14を備える研磨ステーションのセットを含む。洗浄および乾燥モジュール18および乾燥計測システム20もまた、CMPツール10内に含まれる。
マルチゾーン圧力制御、パッドの厚さ制御、パッドのコンディショニング、特別なスラリーおよびその他のものなどの近年のCMP技術の発展は、CMPパフォーマンスをあるレベルまで改善したが、このレベルは、現在の製造技術の厳密な要求に対しやっと十分な程度であり、技術のいかなる変化に対しても問題のあるものである。ガスクラスターイオンビーム技術(GCIB)は、CMPツールのパフォーマンスの微調整および/または補正および/または改善のための(US8,654,562)で提案されている。この技術は、CMPプロセスのウェハー内(WIW)厚さの均一性を改善することを目的としているが、腐食およびディッシングなどの有害なCMP効果の減少または除去、および、密度効果の減少または除去は、全CMPプロセス後ウェハートポグラフィを「修正する」ために、高価なプロセス機器を不可避的に要求する。
通常、プロセスがウェハー表面を単に平坦化するよう層の一部を除去するために使用されたとき、最良のCMPパフォーマンスに達する(「ストップイン」層)。この場合、単一層除去のための最良のプロセスコンディションは、最適な高平坦化スラリーで達成される。現在の製造プロセスにおけるCMPプロセスステップの過半数を表す「ストップオン」層のために、より複雑な状況が生じる。すべての「ステップオン」CMSステップ(限定されるものではないが、ストップオンSiNによる酸化CMP、ストップオン酸化物によるSiN CMP、ストップオンポリSiによる酸化CMP、ストップオン酸化物によるW CMPなどを含む)は、バルク除去およびその後に続くオーバーポリッシュおよび/またはバッファリングによるマルチステージプロセッシングを要求する。両ステージとも問題があるが、特に、複数材料の除去を組み合わせられ制御される第2のステージが要求される。この課題は、半導体装置において異なる密度を有する全ての構造体に対し、達成することは難しい。
従って、上述した後のCMP修正に対し提案された技術と同様に、「ストップイン」における層の完全な除去を可能とし、より精確な表面平坦化を可能とし、現在のCMPの複雑化したオーバーポリッシュステップの必要性をなくす、材料除去技術に対する新規なアプローチの必要性が従来技術において存在する。
本発明の理解を簡単にするため、以下の点に注意すべきである。「ラフ」材料除去と称されたステップは、バルク材料の大部分の除去を参照するが、すべてのバルク材料の除去を参照していない。「ストップオン」層に対し、「ラフ」CMP除去は、全ウェハー上に、除去した材料の薄い層を残す。通常、残存する材料の量は10−100Aの範囲内であり、製法の最適化プロセスの結果を示している。「ファイン」材料除去は、残っている材料の最後の部分の除去(現在のCMP「ストップオン」ポイント)を参照し、また、最終外形を生成するオーバーポリッシュおよび/またはバファリングのすべてのステップを参照する。
上述したように、従来のCMPプロセスは、その後にファイン材料除去ステージが連続するラフ材料除去ステージを含む。ラフCMP処理とファインCMP処理との相違は、CMPプロセス、パッドおよびスラリー材料のパラメータの相違にある。しかしながら、CMPステージの各々の間、研磨プロセスは、各々のステージに対し同じ研磨パラメータでサンプルの全表面に適用される。
発明者らは、ラフ材料除去ステージが完了したのち、現在のファイン材料除去CMPステージの代わりに、プロセスを大きく簡略化し、結果として得られるウェハー変動内の局所的および全体の平坦度を改善する、新規な材料除去を必要とすることを見いだした。
本発明の新規なファイン材料除去プロセスは、ラフCMPステージの後に適用でき、サンプル(ウェハー)上の層の残りの厚さの知識、すなわち、サンプルマップに基づいている。この新規なファイン除去プロセスは、ウェハーの各点への外部エネルギーエッチング速度の適用によって、局所的に制御される残りの厚さの選択エッチング(すなわち、エッチングマップ)を利用し、エッチング速度は既知の残りの厚さに基づき計算され、その結果、残りの層は、同時に全ウェハー上のすべての異なる密度の場所から正確に除去される。これにより、オーバーポリッシュおよび/またはバッファリングを含む、すべてのファイン材料CMPステップが除去される。
本発明の局所化された選択エッチングは、また、スクラッチなどのCMPの問題を解消し、CMP洗浄プロセスの他のプロセスをより簡単にすることができる。
本発明の新規な材料除去/表面平坦化技術は、スタンドアローンの材料除去システムとして実施でき、あるいは、例えばラフCMPステージに続く、「ラフ」材料除去のために使用される従来のCMPシステムと統合することもできる。そのため、本発明は、各種の可能性のある構成において、CMPツールパフォーマンスの微調整および/または修正および/または改善のために使用することができる。
通常、本発明の新規な材料除去(あるいは表面平坦化)技術は、プラスチック、ガラスなどの半導体ウェハー以外の基板からターゲットとなる材料の除去のために使用され、また、例えばLCDスクリーン、MEMS、システムズオンチップ(SOC)、アドバンスドメモリーおよびロジック装置、パワースイッチなどの電子部品を製造するために、各種のアプリケーションにおいて使用される。
本発明は局所化された選択材料除去を利用する。この関係で、以下の点に注意すべきである。「選択的」の用語は、他の材料がそのまま残っている状態でのターゲットとなる材料の選択エッチングを参照する(ターゲットとなる材料のエッチング速度は他の材料のエッチング速度よりかなり大きい)。「局所化」の用語は、材料除去速度を制御するために、サンプル表面上の1つ以上の選択された/特定された場所(位置)上に、温度場などの外部場の適用によって発生した、エッチング速度の相違を参照する。「局所化」の用語は、また、ユーザーが定義したエッチング速度マップの生成を認める、外部エネルギー(温度)サンプル(ウェハー)マップを参照することもできる。「局所化された材料除去」の用語は、露出された場所のみを選択的にエッチングするリソグラフィーがサンプル上にパターンを生成するために使用されるときの、「マスク」ベースのアプローチとは基本的に異なっている。本発明の局所化された材料除去は、サンプルのすべての場所/位置上における「エッチング速度制御」局所化材料除去を利用し、すなわち、エッチング材料は、選択された場所/位置上で、材料除去が他の表面位置よりも速い状態で、全サンプル表面に適用される。
言い換えると、本発明によれば、エッチングによるサンプル表面の選択された場所からの材料除去は、エッチング材料との全サンプル表面の相互作用を利用するが、従来のアプローチとは異なり、サンプル内のエッチング速度のパターン/プロファイルを利用している、すなわち、エッチング速度が所定のパターンに従って表面内で変化する。その結果、サンプル表面の異なる位置が材料除去の異なるレベルとなる。処理前におけるサンプル上の層の厚さの知識は、全サンプル表面での異なる場所の正確な材料除去の目的を達成するために要求されるパターンを決定することを可能とする。ある実施例において、そのようなエッチング速度パターン(エッチング速度を変化させる)は、サンプル表面に、例えば温度パターンなどの、エッチングプロセスに影響を与える対応する局所化されたエネルギー分布を適用することによって達成される。そのため、ある実施例において、本発明は、局所的な加熱の結果として得られる局所的なサンプル温度によって定義される、サンプル上の各場所で可変のエッチング速度で、サンプル(例えば半導体ウェハー)上のターゲットとなる材料の選択除去を提供する。通常、サンプル表面上の望ましい温度パターンは、空間的に変化する外部放射/場を適用することによって達成することができる。
本発明の材料除去技術は、選択的ウェットエッチングプロセスを介してサンプルに適用できるが、例えば温度依存性など高い外部エネルギー依存性を有する他の選択的除去プロセスにも適用できることを理解すべきである。また、上述したように、本発明は、ターゲットとなる材料の完全な除去のためと同様に、望ましいターゲットとする厚さまでのターゲットとなる材料の部分的な除去のためにも使用可能である。
このように、本発明の1つの広い側面によれば、処理領域内で局所化されたエネルギー分布を作成するための外部エネルギー源と、前記局所化されたエネルギー分布によって、前記処理領域内に所定の温度パターンを生成するように、前記外部エネルギー源を操作するための制御ユニットと、を備え、エッチング材料構造と相互作用するサンプルが、前記処理領域に位置するとき、サンプル表面の異なる位置での温度パターンが、前記エッチング材料構造によって、異なる材料除去速度を生成する場合に、前記処理領域の異なる位置が異なる温度となることを特徴とする表面平坦化システムが提供される。
ある実施例において、外部エネルギー源は1つ以上のヒーターを備える。ヒーターは電磁放射性維を発生するタイプとすることができる。ある実施例において、エネルギー源が、離間した関係で平面に配置されたヒーターのマトリックスを備え、要求された動作パラメーターによる選択されたヒーターの作動が、処理領域内に前記局所化されたエネルギー分布を生成する。そのような動作パラメーターは、加熱温度、パルスまたはCW動作モード、加熱の時間、加熱パルス形状、パルスの時間パターン(加熱パルス期間および加熱パルス間の遅延)のうちの少なくとも1つを含むことができる。
制御ユニットは、入力データを受け取って処理し、エネルギー源に動作データを作成するよう構成されたデータ処理装置を備えるエネルギー制御器ユーティリティーを備えている。この動作データは、処理領域内のエネルギー源によって作成される温度パターンを示している。ある実施例において、入力データは、サンプル上の層の厚さプロファイルに対応するサンプルマップを備え、制御ユニットのデータ処理装置は、サンプルマップのデータを処理し、対応するエッチングマップを決定し、エネルギー源に対応する動作データを作成するよう構成されている。ある実施例において、入力データは、システムによって処理されるべきサンプル上の層の厚さプロファイルを示すサンプルマップに対応するエッチングマップデータを備える。制御ユニットは、前記入力データを受け取るために、外部システムと通信できるよう構成されている。そのような外部システムは、検査/測定/計測システム、または、そのような検査/測定/計測システムからデータを受け取る外部記憶装置とすることができる。そのようなシステムは、時には検査システムとして、また時には計測システムとして、以後参照する。本発明の目的のためには、サンプル層のための厚さプロファイルを決定するそのようなシステムの能力が要求されることを理解すべきである。計測システムは、例えばスペクトロメータ、反射率測定法、偏光解析法、およびこれらの技術の好適な組み合わせを使用する光学計測法のために構成することができる。
ある実施例において、表面平坦化システムは、また、温度場源の制御ユニットとデータ通信可能に構成されたその場計測モジュールを含む。その場計測モジュールは、サンプルおよび/またはエッチング材料構造パラメーターである、少なくとも1つのパラメーターを測定するように、および、要求された温度パターンを維持し、要求された結果が達成されたとき、平坦化プロセスの終点を定義するために、エネルギー源の動作パラメーターを制御するために(制御ユニットにより)使用されるプロセス制御データを作成するように、構成され動作可能とされている。
本発明の他の広い側面によれば、処理領域内に局所化されたエネルギー分布を作成することができる外部エネルギー源と;前記処理領域において、エッチング液中でサンプルを支持するための支持ユニットと;サンプルマップを示す入力データを受け取り、対応するエッチングマップを決定し、前記サンプル中に所定の温度パターンを生成する局所化されたエネルギー分布を作成するために、前記エネルギー源を操作するための動作データを作成するための制御ユニットと;を備え、それにより、サンプル中に温度に依存するエッチングパターンをもたらすことを特徴とする表面平坦化システムが提供される。
本発明の他の広い側面によれば、製造ライン上を進むサンプルを処理するための処理システムであって、システムが:
サンプル表面に少なくとも1回の「ラフ」材料除去プロセスを適用するよう構成された材料除去システムと;
「ラフ」材料除去システムによって処理された後の前記サンプルを処理するよう構成された上述した表面平坦化システムと;
サンプルを検査するように、および、前記表面平坦化システムに動作データの作成を可能にするプロセス制御データを作成するように、構成された検査システムと;
を備えることを特徴とする処理システムが提供される。
検査システムは、表面平坦化システムによる処理の前にサンプルに(例えば光学測定などの)測定を適用し、サンプルマップまたはエッチングマップに対応する出力データを作成するよう構成された統合された計測ツールを備えることができる。処理システムは、表面平坦化システムに使われ、少なくとも1つサンプルのパラメーターおよび/または少なくとも1つのエッチング材料構造パラメーターを含む1つ以上のパラメーターを測定するように、および、要求された温度パターンを維持するために、エネルギー源の動作パラメーターを制御するためのプロセス制御データを作成するように、構成され動作可能であるその場計測モジュールを含むことができる。
材料除去システムは、CMPによって材料除去するよう構成することができる。
本発明の更に他の側面によれば、サンプルにラフCMP処理を適用するための少なくとも1つのCMPステーションと、CMPステーションの下流側に位置し、前記選択エッチングにより前記サンプルにファイン表面平坦化を適用するよう動作可能で上述した平面平坦化システムと、を備えることを特徴とする化学機械研磨(CMP)ツール配置が提供される。
本発明の更に他の側面によれば、製造ライン上を進むサンプル(例えば半導体ウェハー)を処理するための方法であって、方法が:
少なくとも1回のCMPステップにおいて、サンプルにラフCMP処理を適用する工程と;
前記ラフCMP処理の後にサンプルに光学的計測による測定を適用し、サンプル上のターゲットとなる層の厚さプロファイルを示すプロセス制御データを作成する工程と;
測定されたサンプルにファイン表面平坦化を適用する工程であって、前記ファイン表面平坦化が、エッチング材料構造とサンプルを相互反応させる工程と、前記エッチング材料構造との相互反応の間サンプルにエネルギーを適用する工程と、を備え、それによって、前記測定した厚さプロファイルに従って決定されたサンプルの温度パターンを作成し、前記温度パターンがサンプルの表面の対応するエッチングマップを作成し、サンプル表面の異なる位置が、前記エッチング材料構造によって異なる材料除去速度となることを特徴とする方法が提供される。
より具体的には、本発明は、通常CMPによってなされるウェハーの表面平坦化のための半導体工業に有益であり、そのため、この具体的な適用を参照して以下に例示する。しかしながら、本発明の本質は、上述したように、この具体的な適用に限定されず限定されるべきでないことを、理解すべきである。
ここに記載された主題をより良く理解するために、および、実際にそれがどのように実施されるのかを例示するために、添付図面を参照して、制限されない例としてのみ、以下に実施例が記載される、ここにおいて:
図1Aおよび1Bは、半導体工業で使用されるCMPツール配置の構成および動作を線図的に示す; 図2は、本発明の表面平坦化システムの線図である; 図3A−3Dは、サンプルの選択エッチングのために使用される本発明を例示し、ここで、図3Aは、選択エッチングによって部分的な材料除去を行うためのサンプル構造、および、サンプルに適用される材料除去システムの主要な構成部材を示し、図3B−3Dは、選択エッチング前、選択エッチング中、および選択エッチング後の3つの連続する状態のサンプルを示す; 図4は、従来のファインCMPステージに置き換えた、本発明の表面平坦化システムを利用する変更したCMPツール配置を線図的に示す。
図1Aおよび1Bを参照して上述したように、製造ライン上を進む半導体ウェハーに従来適用される材料除去プロセスは、その後にいくつかのCMPファインステージが続くCMPラフ除去を含み、各CMPステージは、ウェハーの全表面の研磨から構成されている。
本発明の100において、エッチング材料構造11と相互作用する(被覆/埋め込み)サンプルSに通常適用される、材料除去/表面平坦化システムを線図的に示す図2を参照する。システム100は、外部エネルギー源102を含み、制御ユニット106と連携している。以下にさらに記載するように、制御ユニット106は、システム100または検査/測定ステーションの部分、または、表面平坦化システム100と検査ステーションとの間を相互接続した(例えば無線データ通信を介して)スタンドアローンのシステムの部分、とすることができ、あるいは、制御ユニットのソフトウェアユーティリティーが、そのようなシステム/ステーションの2つ以上の間に配布される。
エネルギー源102は、処理領域内に所定の温度パターンを生成するために、処理領域104内に局所化されたエネルギー/温度分布E(x、y)を発生するよう構成され作動可能であり、制御ユニット106によって動作可能であり、その結果、処理領域104の異なる位置が異なる温度となる。そのため、サンプルSとエッチャー11との間の相互反応界面が処理領域104内に位置するとき、サンプル表面の異なる位置での異なる温度が、エッチング材料構造によって異なる材料除去速度を生成するように、対応する温度パターンT(x、y)によって影響される。
外部エネルギー源は、例えばパルスまたはCW放射を使用する、例えば、電気、光学(ランプ、レーザーなど)、磁気の公知の好適なタイプのものとすることができる。限定されない例において、エネルギー源102は、サンプル表面内に対応する位置のマトリックスと整列して配置された離間するヒーターのマトリックスを含むことができ、その結果、要求された動作パラメーター(加熱温度、動作モード(例えばパルス)、加熱期間、パルス形状、パルスの時間パターン)による選択ヒーターの作動が、サンプルを横断する温度分布パターンを生成する。
制御ユニット106は、局所化された温度分布E(x、y)、すなわち、処理領域内の温度場の空間変位、を生成するために、エネルギー源102に動作データを発生するよう構成され、その結果、対応する温度パターン/プロファイルT(x、y)は、処理領域104内に位置するサンプル表面S(エッチャーとの相互反応界面)で生成される。そのような温度プロファイルを有するサンプルが好適なエッチング材料構造と相互反応するとき、局所的なサンプル温度によって定義された、対応する材料除去パラメーターのプロファイルが(例えば、サンプル上の異なる位置での可変エッチング速度)、相互反応界面に沿って生成され、従って相互反応領域内のサンプルの異なる位置は温度場によって異なる影響を受け、例えばサンプル上の選択された位置における材料除去などの異なるサンプル位置での材料除去の異なるレベルを引き起こす。温度場パターンを生成するエネルギー源102の例は、ランプ、レーザー、および、その他の源、CWまたはパルス源とすることができる。
制御ユニット106は、通常、データ入力および出力ユーティリティー108および110、および、エネルギー制御器ユーティリティー112などのユーティリティー(ハードウェアおよび/またはソフトウェア)を含む電気/コンピュータシステムである。エネルギー制御器ユーティリティー112は、そこから材料が除去されるべきサンプルの位置(座標)を示す入力データ(いわゆる「ウェハーマップ」または「エッチングマップ」)を処理し、サンプル表面が位置する処理領域中のエネルギー源によって作成される対応するエネルギー分布パターンE(x、y)を示すデータを作成する、パターンデータ発生器モジュール114を含むデータ処理装置である。
ある実施例において、そこから材料が除去されるべきサンプルの位置を示す入力データは、例えば、サンプル上で測定した層厚プロファイルの形式(いわゆる「ウェハーマップ」)で、制御ユニットに入力することができる。ウェハーマップデータは、検査システム(オンライン動作モード)から直接、あるいは、記憶装置(オフラインモード)から、受け取ることができる。コントロールユニットのデータ処理装置は、対応する温度パターンを生成するために、サンプルマップデータを処理し、対応するエッチングマップを決定し、エネルギー源への動作データを作成するよう予めプログラムされることができる。他のある実施例において、入力データは、処理すべきサンプル上の層厚プロファイルを示すサンプルマップに対応する、エッチングマップデータ(例えば、検査システムの制御器によって決定される)を含む。この場合、制御ユニットは、エッチングマップを解析し、生成すべき対応する温度パターンを示すエネルギー源への動作データを作成する。通常、サンプルマップおよび対応するエッチングマップを決定し、それを整合する温度パターンに変換するためのデータ処理アルゴリズムは、平坦化システム100の制御ユニットの制御ユーティリティーと外部検査システム(統合またはスダンとアローン)の制御ユニットとの間に配布されたソフトウェアモジュールによって実行することができる。
さらに図2に点線で示すように、本発明の表面平坦化システム100は、プロセス制御のためのその場計測モジュール/システム116と連携することができる。そのようなシステム116は、システム100によって(すなわちエネルギー源操作によって)行われる選択エッチングプロセス中に、測定(例えば光学)をサンプルに適用し、アップデートした入力データ(例えば、場合によってサンプルマップまたはエッチングマップ)を、エネルギー源の動作パラメーターを制御するため、そのため選択除去プロセスを制御するために、制御ユニットに供給する。計測モジュール116は、選択エッチングプロセス中、ターゲットとなるパラメーターの単一またはマルチサイト/ポイント測定のために構成される。そのような測定したパラメーターは、制限されるものではないが、サンプルから部分的にまたは完全に除去された層厚を含む。リアルタイムのその場材料除去(選択ウェットエッチング)のためのそのような計測モジュール116が、サンプルのパラメーター測定に代わって、あるいは、それに加えて、エッチング液の組成/濃度をモニターすることができることには注意すべきである。
上述したように、本発明の選択材料除去技術(すなわち、サンプル表面上の異なる位置で材料除去の異なるレベル)は、温度依存性を有するいかなる材料除去プロセスにおいても使用することができる。ある実施例において、そのようなサンプル表面上の異なる位置で材料除去の異なるレベルは、局所的サンプル温度を変化させることによって定義される、サンプル上での材料の可変エッチング速度によって達成することができる。これは、例えば、選択ウェットエッチングプロセスを介して達成することができる。また、上述したように、本発明によって達成すべきターゲット材料の除去は、ターゲット材料の完全な除去と同様に、ターゲット厚さまでの材料の部分的除去を参照する。
また、エッチングモードそれ自体(温度/期間、エッチング材料構造)は、例えば半導体工業で使用されているような、通常リソグラフィーで使用されるエッチングプロセスの先行技術ですでに知られている、いかなる好適な技術をも利用することができる。選択エッチング微細加工プロセスの選択エッチング剤および温度依存性の例は、例えば文献:K.R Williams and R.S Muller,“Etch Rates for micromachining processing”,Journal of Microelectromechanical Systems,Vol,No.4,p256−269,December 1996に記載されているように、先行技術で知られている。
サンプルの選択エッチングに対し本発明がどのように使用されるかを例示する図3A−3Dを参照する。図3Aは、ターゲット材料の選択ウェットエッチングプロセスによって部分的な材料除去を行うサンプル構成S、および、サンプルに適用される表面平坦化システム100を例示する。図示のように、サンプル構成Sは、基板(単一層または複数層)15、および、選択位置から部分的に除去されるターゲット材料の最上層17を含み、例えば層17はパターン化され、そのパターニングは最終表面平坦化で目的とすることができる。この目的のため、エッチング溶液11がサンプルに適用され、例えば、通常120におけるエッチング試薬供給システム(ノズル)を介して最上層17上に堆積される。
材料供給システムの構造および動作は、知られており、本発明の部分を形成せず、そのため、パドルを生成するための回転機構を有する材料供給システムを含む、いかなる材料供給システムをも使用できることに注意する以外、具体的に記載する必要はない。システム100は、処理領域104内に局所化されたエネルギー分布E(x、y)を生成できる外部加熱源102(エネルギー源を構成する)を含み、ここにエッチング材料と相互反応するサンプルが位置し、制御ユニット106によって操作可能であり、その結果、局所化されたエネルギー場分布は、サンプル−エッチング界面内に望ましい温度プロファイルT(x、y)を生成する。図に示したように、加熱源102は、間隔を開けて加熱放射がサンプル表面に向くように構成された、サンプル表面側に収納された加熱ユニットを含むことができ、および/または、サンプル保持チャック122内に収納された加熱ユニットを含むことができる。加熱ユニットは、制御ユニットのパターンデータ発生器からのデータによって操作可能であり、サンプル表面のいかなる部分の局所化された加熱を可能とする。上述したように、局所化された加熱は、いかなるパルス源またはCW源によっても行うことができる。システム100は、材料除去プロセスのリアルタイムその場制御のための計測モジュール(検査システム)と連携することができる。
図3B−3Dはシステム100の操作を例示する。サンプルの3つの連続する状態が、システム100による選択エッチング前、選択エッチング中、および選択エッチング後において示されている。図3Bに示すように、製造ラインを進む、例えば前のラフCMPステージの結果として得られる、サンプルS’は、基板15および基板上のターゲット材料17を含む。ターゲット材料17は、異なる厚さの3つの領域R、RおよびRとしてここに例示されている、パターンすなわち表面レリーフを有している。このサンプルに、本発明の技術の表面平坦化処理を行い(図3C):エッチング材料/層11がターゲット材料17上に加えられ、全構造が外部エネルギー源102によって生成された温度パターンにさらされる。上述したように、エネルギー源102は、望ましいエッチング速度パターン/プロファイルを達成するよう、界面内の望ましい熱(温度)分布の生成および熱適用の期間を確保するために、入力データ(加熱源のパラメーターと同様に、使用するエッチング材料、要求された最終厚さプロファイル)を利用して、表面平坦化システムの動作パラメーター(例えば、加熱温度マップ/パターン(望ましいエッチングマップを提供する)、パルスまたはCW動作モードの最適な使用、加熱の期間、加熱パルス形状、加熱パルスの時間パターン)を決定する、制御ユニット106によって操作される。図3Cに示すように、そのような選択エネルギー分布が望ましい材料除去のパターンの結果となる、すなわち、サンプルS”は、単一ステージプロセスで達成された、ターゲット材料層17’の望ましい厚さパターンを有する。
上述したように、本発明の技術は、各種の可能な構造において、CMPツールパフォーマンスの微調整および/または修正および/または改善のために使用することができる。例えば、本発明の表面平坦化システム100は、プラテンの後のCMPシーケンスに位置する付加モジュールとして、あるいは、プラテンの1つの代わりとして、あるいは、最後またはバッファリングプラテンの代わりとして、現在のCMPプロセス装置の部分として統合または使用することができる。これは図4に線図的に示されている。ここで、表面平坦化システム100は、CMPツール配置200の部分として使用され、ラフCMPステージ12によって処理された後のサンプルを受け取り検査する、計測/検査ステーション18(統合された計測手段)の下流側に組み込まれる。この例において、制御ユニットは、検査システム220から入力データを受け取り、表面平坦化システム100の操作のための出力データを供給する。また、上述したように、本発明の表面平坦化システムは、標準CMPプロセス(例えば、図1Aおよび1Bの従来のマルチステージ材料除去プロセス)が終了した後の修正のため、いわゆる「検証ステーション」として、スタンドアローン(SA)手段として使用することができる。
CMPツールパフォーマンスの微調整および/または修正および/または改善のための統合された技術は、研磨ステップによる再加工の除去または少なくともかなりの減少、プラテン数の減少、および、異なるCMPスラリーの選択性の要求の減少により、より良い生産性、コストパフォーマンスおよびCMPツール配置のより良いスループットを可能とする事に注意するべきである。また、そのようなCMPプロセスの微調整および/または修正および/または改善は、スクラッチ、CMP後に要求される洗浄プロセスの簡略化などCMP誘起効果の減少と同時に、CMPプロセスのウェハー内(WIW)厚さ均一性の改善、腐食およびディッシングなどの有害なCMP効果の減少または除去、密度効果の減少または除去を含む、より良い全体性能を可能とする。また、現在問題のあるオーバーポリッシングステップおよび/またはバッファリングによるマルチプラテン処理を要求する全てのストップオンCMPステップ(限定されるものではないが、 ストップオンSiNによる酸化CMP、ストップオン酸化物によるSiN CMP、ストップオンポリSiによる酸化CMP、ストップオン酸化物によるW CMPなどを含む)は、より単純な単一プラテンストップイン層バルク材料除去方法およびツールに本発明の技術を付加することで、減少することができることに注意すべきである。
本発明は、公知の選択エッチング剤および公知の温度依存性を使用する、酸化物、SiN、Si、金属などの選択除去またはエッチングに適用可能である。本発明は、限定されるものではないが、STI CMP、置き換えゲートCMP、W CMP、Cu CMPなどを含む、誘起および金属CMPプロセスの両者に対し、CMPパフォーマンスを修正するために使用できる。CMPプロセスとの使用として上で例示した、本発明の技術は、パターニングプロセスまたは材料堆積プロセス、例えば、最終材料厚さプロファイルを制御/検証/修正するための化学蒸着(CVD)、などの他の半導体製造プロセスに使用することができることに更に注意すべきである。
以下のことは、例えば図4に示され図4を参照して上に記載されているように、現存するCMPプロセス装置の統合部分として、本発明の表面平坦化システム100を使用するときに好適な高度プロセス制御スキームの例である。このプロセス制御スキームによれば、ウェットエリア統合計測(IM)ツール/ステーション220が、CMP(例えば、ラフCMP)のプラテン14の下流側でシステム100の上流側に位置する。ウェハは、IMツール220によって検査される間、乾燥または湿った状態に保たれる。ウェットエリアIMシステム220は、プラテン14によるラフCMP後のターゲットパラメーターのウェハーマップを検査/決定するよう、および、要求された材料除去の必要性、すなわち、ケースによって最終厚さパターンまたは厚さ変化のパターン、を定義するよう、構成されている。このウェハーマップ(例えば、そこから材料を除去すべきサンプル位置を示すデータ)は、提案された統合選択エッチング除去システム100に対するフィードフォワード高度プロセス制御のために使用され(すなわち、対応するエッチングマップの決定)、同じウェハーマップがCMPプラテン12に対するフィードバック高度プロセス制御として使用される。また、オプションとして、付加的な計測ツール20(統合またはスタンドアローン)は、全ての材料除去および表面平坦化プロセスの終了後、すなわち、全体のプロセス品質制御の手段として機能するシステム100の下流側で、使用できる。
表面平坦化システム100が、標準CMPプロセスが終了した後に、修正のため分離したスタンドアローンステーション/ツール構成で使用される場合、スタンドアローンの計測システムは、選択ウェットエッチングプロセスの適用前(フードフォワード高度プロセス制御)に、要求された除去/平坦化の必要性のウェハーマップ(すなわち、要求された厚さプロファイル)を予め定義するために、および、選択ウェットエッチングプロセス後(フィードバック高度プロセス制御)に、全パフォーマンスを評価するために、使用することができる。
図2を参照して上述したように、また図4に例示したように、インプロセス制御は、オプションとして、選択除去プロセスを制御するために使用される。この場合、付加的なその場計測モジュール116は、制御モジュール100に対する入力のために使用できる。この計測モジュール116は、限定されないが、部分的または完全に除去される層の厚さを含む、エッチングプロセス中ターゲットパラメーターの測定(単一または複数点/面積)を可能とする。上述したように、リアルタイムその場材料除去(選択ウェットエッチング)のための計測モジュール116は、サンプル測定に代えて、または、サンプル測定に付加して、エッチング溶液の組成/濃度をモニターすることができる。
例えば、本発明を使用するSTI CMPプロセスは、以下のように成される:酸化物の薄い均一層が研磨されるウェハー上に残り、薄酸化物層がなお残るように、ウェハーは高効率酸化物スラリーで平坦にされる。ウェハーを横断する残りの酸化物層の厚さは、除去プロセスのための、要求された温度分布および加熱期間パターンを定義するために測定される。本発明に係る選択除去(エッチング)は、残っている酸化物層を完全に除去するために実行され、SiNは、ディッシング/腐食、過度のオーバーポリッシュなどのない状態で、ウェハーを横断して均一に露出される。この目的のために、STI CMPツールは、例えば、以下のように変更される:研磨プラテンの1つが高い平坦化効率の酸化物スラリーでの平坦化のために使用され;IM(ドライ/ウェット)ウェットエリア計測モジュール220が、残りのウェハーマップを測定するために研磨ステージの下流側に位置し、本発明の表面平坦化システム100が、次に、残りの層の選択除去のために適用される。その後、ウェハーが洗浄され乾燥された後、プロセスの全品質のためのドライ計測ツール20(統合またはスタンドアローン)によって測定される。

Claims (17)

  1. 処理領域内で局所化されたエネルギー分布を作成するための外部エネルギー源と、前記局所化されたエネルギー分布によって、前記処理領域内に所定の温度パターンを生成するように、前記外部エネルギー源を操作するための制御ユニットと、を備え、エッチング材料構造と相互作用するサンプルが、前記処理領域に位置するとき、サンプル表面の異なる位置での温度パターンが、前記エッチング材料構造によって、異なる材料除去速度を生成する場合に、前記処理領域の異なる位置が異なる温度となることを特徴とする表面平坦化システム。
  2. 前記外部エネルギー源が1つ以上のヒーターを備えることを特徴とする請求項1に記載のシステム。
  3. 前記1つ以上のヒーターが、電磁放射線を発生するよう構成されていることを特徴とする請求項2に記載のシステム。
  4. 前記外部エネルギー源が、離間した関係で平面に配置されたヒーターのマトリックスを備え、要求された動作パラメーターによる選択されたヒーターの作動が、処理領域内に前記局所化された温度分布を生成することを特徴とする請求項2または3に記載のシステム。
  5. 動作パラメーターが、加熱温度、パルスまたはCW動作モード、加熱の時間、加熱パルス形状、加熱パルスの時間パターンのうちの少なくとも1つを含むことを特徴とする請求項4に記載のシステム。
  6. 制御ユニットが、入力データを受け取って処理し、エネルギー源に動作データを作成するよう構成されたデータ処理装置を備えるプロセス制御器ユニットを備え、前記動作データが、処理領域内のエネルギー源によって作成される温度パターンを示していることを特徴とする請求項1〜5のいずれか1項に記載のシステム。
  7. 前記入力データが、サンプル上の層の厚さプロファイルに対応するサンプルマップを備え、前記制御ユニットのデータ処理装置が、前記サンプルマップのデータを処理し、対応するエッチングマップを決定し、エネルギー源に前記対応する動作データを作成するよう構成されていることを特徴とする請求項6に記載のシステム。
  8. 前記入力データが、システムによって処理されるべきサンプル上の層の厚さプロファイルを示すサンプルマップに対応するエッチングマップデータを備えることを特徴とする請求項6に記載のシステム。
  9. 制御ユニットが、前記入力データを受け取るために、外部システムと通信できるよう構成されていることを特徴とする請求項6〜8のいずれか1項に記載のシステム。
  10. 外部エネルギー源の制御ユニットとデータ通信可能に構成されたその場計測モジュールを備え、前記その場計測モジュールは、サンプルおよびエッチング材料構造の少なくとも1つのパラメーターを測定するように、および、要求された温度パターンを維持し、要求された結果に対し平坦化プロセスの終点を定義するために、エネルギー源の動作パラメーターを制御する、制御ユニットにより使用されるプロセス制御データを作成するように、構成され動作可能とされていることを特徴とする請求項1〜9のいずれか1項に記載のシステム。
  11. 処理領域内に局所化されたエネルギー分布を作成することができる外部エネルギー源と;前記処理領域において、エッチング液中でサンプルを支持するための支持ユニットと;サンプルマップを示す入力データを受け取り、対応するエッチングマップを決定し、前記サンプル中に所定の温度パターンを生成する局所化されたエネルギー分布を作成するために、前記エネルギー源を操作するための動作データを作成するための制御ユニットと;を備え、それにより、サンプル中に温度に依存するエッチングパターンをもたらすことを特徴とする表面平坦化システム。
  12. 製造ライン上を進むサンプルを処理するための処理システムであって、システムが:
    サンプル表面に少なくとも1回のラフ材料除去プロセスを適用するよう構成された材料除去システムと;
    前記材料除去システムによって処理された後の前記サンプルを処理するよう構成された表面平坦化システムであって、請求項1−11のいずれか1項の記載に従って構成された表面平坦化システムと;
    サンプル上の測定をするように、および、前記表面平坦化システムに動作データの作成を可能にするプロセス制御データを作成するように、構成された計測システムと;
    を備えることを特徴とする処理システム。
  13. 表面平坦化システムに使われるその場計測モジュールを備えることを特徴とする請求項12に記載の処理システム。
  14. 前記その場計測モジュールが、少なくとも1つのパラメーター、ここで、少なくとも1つのパラメーターは少なくとも1つのサンプルパラメーターおよび/または少なくとも1つのエッチング材料構造パラメーターを含む、を測定するように、および、要求された温度パターンを維持するために、エネルギー源の動作パラメーターを制御するためのプロセス制御データを作成するように、構成され動作可能であることを特徴とする請求項13に記載の処理システム。
  15. 前記材料除去システムが、化学機械研磨(CMP)によって材料除去するよう構成されていることを特徴とする請求項11〜14のいずれか1項に記載の処理システム。
  16. サンプルにラフCMP処理を適用するための少なくとも1つのCMPステーションと、請求項1〜11のいずれか1項に記載の前記表面平坦化システムであって、前記少なくとも1つのCMPステーションの下流側に位置し、前記選択エッチングにより前記サンプルにファイン表面平坦化を適用するよう動作可能である平面平坦化システムと、を備えることを特徴とする化学機械研磨(CMP)ツール配置。
  17. 製造ライン上を進むサンプルを処理するための方法であって、方法が:
    少なくとも1回のCMPステップにおいて、サンプルにラフCMP処理を適用する工程と;
    前記ラフCMP処理の後にサンプルに光学的計測による測定を適用し、サンプル上のターゲットとなる層の厚さプロファイルを示すプロセス制御データを作成する工程と;
    測定されたサンプルにファイン表面平坦化を適用する工程であって、前記ファイン表面平坦化が、エッチング材料構造とサンプルを相互反応させる工程と、前記エッチング材料構造との相互反応の間サンプルにエネルギーを適用する工程と、を備え、それによって、前記測定した厚さプロファイルに従って決定されたサンプルの温度パターンを作成し、前記温度パターンがサンプルの表面の対応するエッチングマップを作成し、サンプル表面の異なる位置が、前記エッチング材料構造によって異なる材料除去速度となることを特徴とする方法。
JP2016561093A 2013-12-31 2014-12-31 表面平坦化システムおよび方法 Active JP6502383B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361922241P 2013-12-31 2013-12-31
US61/922,241 2013-12-31
PCT/IL2014/051143 WO2015101989A1 (en) 2013-12-31 2014-12-31 Surface planarization system and method

Publications (2)

Publication Number Publication Date
JP2017503673A true JP2017503673A (ja) 2017-02-02
JP6502383B2 JP6502383B2 (ja) 2019-04-17

Family

ID=53493365

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016561093A Active JP6502383B2 (ja) 2013-12-31 2014-12-31 表面平坦化システムおよび方法

Country Status (5)

Country Link
US (1) US10226852B2 (ja)
JP (1) JP6502383B2 (ja)
CN (1) CN106062930B (ja)
IL (1) IL246481A (ja)
WO (1) WO2015101989A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190112637A (ko) * 2018-03-26 2019-10-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
USD742581S1 (en) * 2013-12-09 2015-11-03 Kenall Manufacturing Company Driver housing
US10226852B2 (en) * 2013-12-31 2019-03-12 Nova Measuring Instruments Ltd. Surface planarization system and method
US10978321B2 (en) 2015-12-31 2021-04-13 Nova Measuring Instruments Ltd. Method and system for processing patterned structures
KR102549495B1 (ko) * 2020-02-18 2023-06-30 주식회사 포스코 공정 제어 시스템 및 그 동작 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH088237A (ja) * 1994-02-18 1996-01-12 Hughes Aircraft Co ウェハの全体的な厚さ変化を改良するシステム
JPH08306673A (ja) * 1995-05-01 1996-11-22 Motorola Inc レーザを用いたプラズマ化学エッチング装置および方法
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US20080042078A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
JP2012510161A (ja) * 2008-11-26 2012-04-26 アプライド マテリアルズ インコーポレイテッド 化学機械研磨のための終点制御を伴う化学薬品および研磨剤粒子の二系統混合

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5258824A (en) 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5196353A (en) 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US5376233A (en) 1992-02-10 1994-12-27 Texas Instruments Incorporated Method for selectively etching oxides
US6352595B1 (en) * 1999-05-28 2002-03-05 Lam Research Corporation Method and system for cleaning a chemical mechanical polishing pad
US7204639B1 (en) 2003-09-26 2007-04-17 Lam Research Corporation Method and apparatus for thin metal film thickness measurement
US7510974B2 (en) * 2006-05-05 2009-03-31 United Microelectronics Corp. CMP process
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
DE102007063232B4 (de) * 2007-12-31 2023-06-22 Advanced Micro Devices, Inc. Verfahren zum Polieren eines Substrats
US8654562B2 (en) 2012-01-17 2014-02-18 Texas Instruments Incorporated Static random access memory cell with single-sided buffer and asymmetric construction
US10226852B2 (en) * 2013-12-31 2019-03-12 Nova Measuring Instruments Ltd. Surface planarization system and method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH088237A (ja) * 1994-02-18 1996-01-12 Hughes Aircraft Co ウェハの全体的な厚さ変化を改良するシステム
JPH08306673A (ja) * 1995-05-01 1996-11-22 Motorola Inc レーザを用いたプラズマ化学エッチング装置および方法
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
US6746616B1 (en) * 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US20080042078A1 (en) * 2006-08-15 2008-02-21 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
JP2012510161A (ja) * 2008-11-26 2012-04-26 アプライド マテリアルズ インコーポレイテッド 化学機械研磨のための終点制御を伴う化学薬品および研磨剤粒子の二系統混合

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190112637A (ko) * 2018-03-26 2019-10-07 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
KR102206183B1 (ko) * 2018-03-26 2021-01-22 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기록 매체 및 기판 처리 장치
US10978310B2 (en) 2018-03-26 2021-04-13 Kokusai Electric Corporation Method of manufacturing semiconductor device and non-transitory computer-readable recording medium capable of adjusting substrate temperature

Also Published As

Publication number Publication date
US20160318148A1 (en) 2016-11-03
CN106062930B (zh) 2019-07-12
WO2015101989A1 (en) 2015-07-09
IL246481A (en) 2017-06-29
CN106062930A (zh) 2016-10-26
JP6502383B2 (ja) 2019-04-17
US10226852B2 (en) 2019-03-12
US20180029189A9 (en) 2018-02-01

Similar Documents

Publication Publication Date Title
JP6502383B2 (ja) 表面平坦化システムおよび方法
US6540591B1 (en) Method and apparatus for post-polish thickness and uniformity control
US8039397B2 (en) Using optical metrology for within wafer feed forward process control
JP6009436B2 (ja) 化学機械研磨における研磨速度補正のためのフィードバック
JP4519199B2 (ja) ウエハ再生方法およびウエハ再生装置
US8551346B2 (en) Photomask-forming glass substrate and making method
JP2009522126A (ja) 基板研磨システムにおいて基板処理回数を調整する方法
US7899571B2 (en) Predictive method to improve within wafer CMP uniformity through optimized pad conditioning
US20060113036A1 (en) Computer integrated manufacturing control system for oxide chemical mechanical polishing
US9289875B2 (en) Feed forward and feed-back techniques for in-situ process control
JP2006507689A (ja) 研磨制御のための方法および器具
US20130241075A1 (en) Contact or via critical dimension control with novel closed loop control system in chemical mechanical planarization process
JP2002103207A (ja) 乾式化学機械研磨方法
JPH088237A (ja) ウェハの全体的な厚さ変化を改良するシステム
US20140024293A1 (en) Control Of Overpolishing Of Multiple Substrates On the Same Platen In Chemical Mechanical Polishing
US10978321B2 (en) Method and system for processing patterned structures
JP4849311B2 (ja) 研磨方法、この研磨方法を用いた半導体デバイスの製造方法
JP2002329690A (ja) 半導体ウェーハの製造方法
JP2009033105A (ja) 半導体装置の製造方法
US20230352282A1 (en) Autonomous operation of plasma processing tool
JP2001210626A (ja) 半導体ウェーハの製造方法及び半導体ウェーハ
Keilen Investigation of Chemical Mechanical Polishing to Enhance Feature Resolution by Atomic Layer Deposition
JP4849312B2 (ja) 研磨方法、この研磨方法を用いた半導体デバイスの製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171204

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20171204

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20180327

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180403

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180925

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190320

R150 Certificate of patent or registration of utility model

Ref document number: 6502383

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250